omen
Участник-
Постов
12 -
Зарегистрирован
-
Посещение
Репутация
0 Обычный-
здравствуйте. приму 5-10шт. если можно с отправкой в Беларусь. пересылку оплачу. [email protected]
-
пересмотр стека по объективным причинам производить нет возможности да металлизация действительно не нужна. Намного ли дороже выполнение таких отверстий оп сравнению с обычными?
-
есть световод,который крепиться на плату при помощи т.н. крепежных пинов (прикрепленное изобржение) Так вот этот пин рассчитан на толщину платы 1.78мм. у меня плата выходит 2.5мм. есть ли какие варианты, кроме перевыбора световода?
-
Монтажное отверстие переменного диаметра
omen опубликовал тема в Изготовление ПП - PCB manufacturing
Здравствуйте. Скажите имеется ли возможность создания монтажного отверстия переменного диаметра. Т.е. например на глубину 1мм идет отверстие диаметром 2мм, а на остальные 1.5мм - отверстие диаметром 2,5мм. -
Подключение ко внутренним слоям мпп
omen ответил Kattani тема в Работаем с трассировкой
Действительно, сопротивление, создаваемое перемычкой высокочастотному току будет зависеть от глубины проникновения высокочастонтого сигнала в толщу проводника.Чем выше частота тем, тем меньше глубина проникновения. А следовательно сопротивление будет зависеть от толщины металлизации виа. и причем здесь термобарьер, который совершенно не влияет на толщину металлизации... глубина проникновения для 600МГц - 2.69469 um, 800МГц - 2.33367 um -
Ремонт болгарки
omen ответил omen тема в Пайка и монтаж
спасибо за ответ, по-моему крайне ненадежно сделано...)они же будут болтаться при работе, при вибрации в вертикальном направлении (вдоль оси крепления щеток...)искрение наверно будет из-за ненадежного контакта...а вот еще вопрос): при установке новых щеток их надо предварительно подтачивать под циллиндрическую форму коллектора? или они сами быстро притираются? -
Ремонт болгарки
omen опубликовал тема в Пайка и монтаж
здравствуйте, не работает болгарка. вынул щетки...похоже надо менять, т.к. истерлись...попутно разобрал корпус, а там такая картика....( прикрепленные изображения) возникает вопрос, что это за петли там видны? неужели это подвод напряжения на щетки так сделан?? если нет, то как напряжения к щеточному механизму подводится? -
Спасибо за ответы. Спасибо за доку, просто я почему то нашел только xapp467_vhdl, а там только примеры на vhdl, описалова нету. Действительно, собрал небольшой проектик (код ниже) ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity mult is Port ( op1 : in STD_LOGIC_VECTOR (21 downto 0); op2 : in STD_LOGIC_VECTOR (21 downto 0); res : out STD_LOGIC_VECTOR (43 downto 0)); end mult; architecture Behavioral of mult is begin res <= op1*op2; end Behavioral; Вот результат моделирования: Вот результат размещения на кристалле Т.е. синтезатор действительно сам сообразил как чего соединить. ой похоже здесь сначала нада картинку куда-нить залить. ну в общем там на кристалле он использовал 4?? умножителя и собрал какую-то логику сопряжения между ними, а результаты моделирования соотвтетствуют действительности, т.е. он действительно пермножил нормально например 4190000 и 325489 и получил 1363798910000. о вот нашел как загрузить (((
-
Подскажите пожалуйсто, может кто уже сталкивался. Как можно выполнить каскадирование умножителей в ПЛИС семейства Spartan-3. Там есть блоки умножителей MULT18X18, MULT18X18SIO, последний собственно и предназаначен для выполнеия каскадирования. но что куда заводить не понятно. Нужно выполнять перемножение чисел большей разрядности чем 18 бит.
-
Ммм.Проблема решена, спасибо всем неравнодушным )). Чисто случайно заметил, как во время очередной переустановки ICE мой антивирь захавал тот самый vhpcomp.exe. Будьте осторожны с антивирусниками!
-
да вроде кирилицу не использую в названии директорий, но смущает странное сочетнаие букв после "vhpcomp:". Проблема открыта....
-
Проблема с САПР Xilinx ISE 8.1i
omen опубликовал тема в Среды разработки - обсуждаем САПРы
Здавствуйте. Возикла следующая проблема при использовании вышеупомянутой софтины: при попытке выполнения Behavioral Simulation->Generate Expected Simylation Result выдает ошибку: Running Fuse ... ERROR:Simulator:418 - Compilation failed: vhpcomp: Ã�Ã¥ óäÃ*åòñÿ Ã*Ã*éòè óêÃ*çÃ*Ã*Ã*ûé ôÃ*éë.. Софтина установлена не на диск С, а на другой за неимением досточного места на С. Может проблема в этом?