Перейти к содержанию
    

namar

Участник
  • Постов

    19
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о namar

  • Звание
    Участник
    Участник
  1. В этой области новичок. А если через JTAG конфигурировать без ППЗУ и выбирать кристаллы по очереди через CS. Тогда только JTAG порт нужен.
  2. На одной плате предполагается разместить три микросхемы ПЛИС(Spartan 2). Посоветуйте какой способ для конфигурирования лучше выбрать?
  3. Очень признателен за информацию. Также можно использовать буфер SN74CBTD для согласования напряжений.
  4. К spartan 2 PQ208 подключена память 3.3В и надо еще ISA подключить. Как организовать совместимоть 5B ISA c 3.3В ПЛИС?
  5. Как будет выглядеть схема на инверсный RESET с напряжением 3.3В
  6. Делаю проект в ISE. В schematic редакторе рисую схему. В схеме использую свой модуль, его можно увидеть в первом моем посте в этой теме. При тестировании с in16 на io16 все нормально а при io16 на out16, на out16 выходят xxx, хотя в отдельном проекте все работает.
  7. Вы имеете в виду. Что выполнив пункты 1 2 3, 4 пункт можно выполнять сколько необходимо.
  8. Описываю uart на vhdl. Мой алгоритм работы с uart при передачи из устройства на ПК: 1. Ожидаю пока CTS(готовность приемника) = 0 . 2. RTS(запрос передачи)=1 3. Ожидаю пока CTS =1 4. Передача байта 1. 2. 3. 4. … Так ли на самом деле?
  9. Спасибо работает. Но если этот модуль использовать в Shematic как символ, то при симуляции на выводах модуля всё нормально, но вот на другие модули идут xxx от него. Может это глюк ISE.
  10. Пытался реализовать что то вроде "буфераперехода" с линии входа выхода на двунаправленные линии. При тестировании кода -------------------------------------------------------------------------------------------- entity xxx is port( in16 : in std_logic_vector(15 downto 0); out16 : out std_logic_vector(15 downto 0); io16 : inout std_logic_vector(15 downto 0); kl : in std_logic ); end xxx; architecture qqq of xxx is begin io16 <= in16 when kl='0' else (others=>'Z'); out16 <= io16 when kl='1' else (others=>'Z'); end qqq; ---------------------------------------------------------------------------------------------- Если задавать in16 и io16 по очереди все работает. Если одновременно то нет. Имеется ввиду в одном тесте. Может что то не так делаю подскажите.
  11. Сталкивался с этим. На мой взгляд это глюки ISA. Если увеличивать схему через несколько шагов становится все видно.
  12. Можно в ISE cоздать новый символ для Schematic с описанием на vhdl?
  13. Подводил кто-нибудь к Spartan 2 выводы с ISA. Какие тут подводные камни?
  14. Я в симуляции что то вроде пошаговой отладки делал. Только не знаю как открыть список внутри модульных сигналов. если вас интересует пошаговая отладка, то вроде нет. Возьмите нормальный симулятор (QuestaSim/Modelsim/Aldec) А где можно скачать modelsim?
  15. Возможно в ISE8.2 пройти по шага по коду если да то как это сделать?
×
×
  • Создать...