Перейти к содержанию
    

listrid

Новичок
  • Постов

    12
  • Зарегистрирован

  • Посещение

Репутация

3 Обычный

Посетители профиля

Блок последних пользователей отключён и не показывается другим пользователям.

  1. Не понял вопроса. V это расширение файла которые обычно зашифрованы. (втречалось и .vp) отсюда и DecruptV. а Gowin отсылка к чему подходит.
  2. сделал расшифратор V файлов от Gowin V1.9.9.01_x64 где хотел посмотреть - отрабатывает. на всех не тестил GowinDecruptV.zip
  3. Рад за вас. что cd-r что флешки для бекапа не подходят. только hdd, ленты и перфокарты )
  4. CD-ROM да, он долговечен. а CD-R и RW дохнут года за 4. там органический слой темнеет
  5. записываемы CD/DVD только на базе MDisc тк обычные мрут быстрее флешек
  6. а старая реализация не работает? создать проект в тарой EDA. подключить там их реализацию. а дальше работать в новой? можно еще дешифровать их код , чтоб подсмотреть изменения. (вечерком реверсну как шифруют)
  7. взято из IDE\ipcore\MIPI_RX_Advance\data\DPHY_RX_TOP.v ========== Gowin_V1.9.8.11 module `module_name_rx( input reset_n , //Resets the Design `ifdef MIPI_COMB_CLK input MIPI_COMB_CLK_P , //HS (High Speed) Clock input MIPI_COMB_CLK_N , //HS (High Speed) Clock output [1:0] lp_clk_out , //LP (Low Power) Data Receiving Signals for Clock Lane `elsif MIPI_CLK ..... и тд ========== Gowin_V1.9.9.01 module `module_name_rx( input reset_n , //Resets the Design `ifdef MIPI_COMB_CLK inout MIPI_COMB_CLK_P , //HS (High Speed) Clock inout MIPI_COMB_CLK_N , //HS (High Speed) Clock output [1:0] lp_clk_out , //LP (Low Power) Data Receiving Signals for Clock Lane `elsif MIPI_CLK ..... и тд что в шаблоне записано то и генерировалось они изменили шаблон (когда то)
  8. SSD на TLS он сколько по времени заряд держит? MLS отключенный до 1-2х лет. у меня на hdd есть файлы и 7ми летней давности. и 15 летние (лежат как архив) и норм читаются.
  9. Сделал свои обертки для gw_ide и GowinSynthesis для x64 чтоб не проверялась лицензия. по сути gw_ide.exe это вызов IDE.DLL launchIDE(int argc, char* argv[]); а GowinSynthesis.exe вызов gwsyn.DLL launchGowinSynthesis(int argc, char* argv[]); Wrapper_Gowin_V1.9.9.rar
  10. если сравнить \IDE\ipcore\MIPI_RX_Advance\data\DPHY_RX_TOP.v этих ide. там явно input заменен на inout больше изменений нет. сама реализация изменилось. кода стало больше. описание в шапке изменений не делали. как то через ж. у них там. (возможно даже не тестировали изменения на совместимость) в Gowin_V1.9.9.01_x64 куча мусора оставили. например дебажная сборка плагинов для qt5. дебажный рантайм от VC++ но нигде не используется))
  11. https://cdn.gowinsemi.com.cn/Gowin_V1.9.9.01_x64_win.zip https://cdn.gowinsemi.com.cn/Gowin_V1.9.9.01_linux.tar.gz https://cdn.gowinsemi.com.cn/RISC-V_for_GW2A_FPGA_Release.zip для win 32 только бета (похоже не будут делать на 32) https://cdn.gowinsemi.com.cn/Gowin_V1.9.9Beta-6_win.zip
  12. так и не дали лицензию на Gowin_V1.9.8.11. защита оказалась простая. в файлах license_config_gui.exe GowinSynthesis.exe gw_ide.exe gw_sh.exe нужно заменить (должно быть 1 вхождение) отключение проверки HOST_ID (07->00 ) 75 08 B8 07 00 00 00 5E 59 C3 8D -> 75 08 B8 00 00 00 00 5E 59 C3 8D отключение подписи (3B FB -> 39 FF ) 83 C4 10 3B FB 0F 94 C0 -> 83 C4 10 39 FF 0F 94 C0 содержимое файла лицензии MODE = NODELOCK TYPE = TESTING HOST_ID = home EXP_DATE = 2099-12-31
×
×
  • Создать...