Перейти к содержанию
    

Непонятная проблема с Digilent Spartan-3 starter Kit и евойной Ext. SRAM

:help:

Понадобилось мне задействовать большой объём памяти, больший, нежели чем BlockRAM. На вышеуказанной плате (S3Board) есть два чипа шустрой асинхронной SRAM по 256к 16-битных слов в каждой. Шина адреса запараллелена, шины данных раздельные, управление ножками #WE и #OE запараллелено, ножки #CE, #UB и #LB раздельные.

 

Всё вроде просто.

 

Интерфейс модуля, который я использую для работы с этими чипами, примерно такой (опускаю незначительные детали):

...
SRAM_ADDR: out std_logic_vector (17 downto 0);
SRAM_DATA: inout std_logic_vector (15 downto 0);
SRAM_OE: out std_logic;
SRAM_WE: out std_logic;
SRAM_UB: out std_logic;
SRAM_LB: out std_logic;
SRAM_CE: out std_logic;
...
ADDR : in std_logic_vector (17 downto 0);
DATA_READ: out std_logic_vector (15 downto 0);
DATA_WRITE: in std_logic_vector (15 downto 0);
...

 

На управляющих ножках стоят PULLUP, на адресе и данных для SRAM пробовал ставить и PULLUP, и PULLDOWN, и KEEPER ... впрочем, я чуть забегаю

 

Когда я делаю вот примерно так:

SRAM_ADDR <= ADDR;
SRAM_OE <= '1';
SRAM_WE <= '0';
SRAM_CE <= '0';
SRAM_LB <= '0';
SRAM_UB <= '0';
SRAM_DATA <= DATA_WRITE;

Смотрю, что появилось на ножках адреса и данных (для отладки, на младшие биты этих шин, повесил светодиоды, через буфер), там всё правильно: установился адрес, есть данные для записи, логические уровни на пинах управления по нулям (там, где надо). Т.е. должен записаться кусок данных в указанную ячейку памяти. Отлаживаюсь чуть ли не в статическом режиме - т.е. несмотря на отсутствие работы с таймингами, всё должно работать (промежутки между подачей и снятием управляющих сигналов просто _гигантские_ по сравнению с теми, что указаны в даташите на чипы памяти).

 

Далее делаю так:

--SRAM_ADDR <= ADDR; -- адрес не переключаю, на шине - KEEPER
SRAM_OE <= '0';
SRAM_WE <= '1';
SRAM_CE <= '0';
SRAM_LB <= '0';
SRAM_UB <= '0';
DATA_READ <= SRAM_DATA;

 

Всё вроде замечательно - на выходе DATA_READ модуля появляется то, что я прежде записал.

 

Но.

 

Если же я раскомментарю присвоение SRAM_ADDR и поставлю туда какой-нибудь _другой_ адрес - то считается опять то же самое! :(

 

Т.е. читается не значение из памяти, на которое указывает адрес, а последнее "записанное" значение. Какой бы я адрес не ставил - читается не то, что там должно быть, а то, что было куда-нибудь последним записано.

 

Я вертел эту схему и так, и сяк, и наперекосяк.

Алгоритм (если это можно назвать алгоритмом) прекрасно работает на BlockRAM, что внутри ПЛИС, но не работает на внешней.

 

Сначала я думал, что микросхема памяти просто не успевает за ПЛИС (изначально работал на 50 МГц, чипы памяти - 10 нс). Оные чипы грелись, но... Дело оказалось не в этом. Снизив частоту до долей Гц делением, чтобы наблюдать перетикивание светодиодов "вживую", я не добился продвижения в понимании оного глюка. :angry2:

 

Если поставить PULLUP на выходы SRAM_DATA - читается FFFF. Если поставить PULLDOWN - читается 0000. Если KEEPER - последнее записанное. Уровни на управляющих ножках - правильные. Адрес выставляется. Что ей ещё надо, блин? :blink:

 

Пробовал даже делать так:

SRAM_DATA<="ZZ...ZZ";
DATA_READ <= SRAM_DATA;

с тем же результатом (с никаким, т.е.).

  • Может такое быть, что сами микросхемы не работают? Мне кажется, что это очень маловероятно - всё ведь, кроме них, работает прекрасно.
  • Как это проверить другим способом?
  • Кто-нибудь на этой плате вообще внешнюю память использовал?
Перепаивать микросхемы не предлагайте, заменить их не на что (и ОЧЕНЬ не хочется портить плату).
Изменено пользователем Мастер-Ломастер

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

При работе с двунаправленной внешней шиной данных я явно определяю выходные буферы используя примитив IOBUF, позволяющий управлять двунаправленным пином:

  SRAMDataBus_i : for i in (C_DWIDTH-1) downto 0 generate
     SRAMDataBit_i : iobuf
       port map (
            I  => mem_Data_O(i),
          IO => mem_Data_pin(i),
          O  => mem_Data_I(i),
          T  => mem_Data_T(i)
         );
  end generate;

При этом внутри спартана имеются две шины данных входная и выходная и сигнал переключения буфера.

 

При таком подходе у меня не возникало проблем с использованием внешней памяти на Spartan-3 starter Kit.

Изменено пользователем denisys

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

При работе с двунаправленной внешней шиной данных я явно определяю выходные буферы используя примитив IOBUF

 

Я прямо щас посмотрел в Technology Schematic - синтезатор от Webpack ISE 9.1i именно эти элементы и поставил. Где шины выходные, там obuf, где входные - ibuf, где вход-выход - iobuf

 

Кстати, я так тоже делал - в явном виде прописывая двунаправленные буферы. С тем же результатом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Запись в SRAM происходит по переднему фронту WE. Не по уровню. Может адрес меняется позже фронта?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Запись в SRAM происходит по переднему фронту WE. Не по уровню. Может адрес меняется позже фронта?

 

я делал даже так: 4 младших бита адреса вывел на 4 из 8 переключателей, что на плате есть, 4 младших бита данных вывел на оставшиеся 4 переключателя, а стробы WE, CE, OE вывел на кнопки. И перещёлкивал всё вручную.

 

Т.е. адрес и данные устанавливались за миллионы микросекунд до прихода любых фронтов. Всё с тем же результатом.

 

===

 

Есть ли у кого-нибудь рабочий проект для S3Board, чтоб память внешнюю активно юзал? Хотя бы просто убедиться - рабочая она или нет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Новости с фронтов.

 

На сайте Xilinx нашёл референс-дизайны, аккурат к обсуждаемой плате. Один из этих проектов замечательно подошёл к задаче: пикоблейз с уартом, запрограммирован на выполнение команд с терминала - "включить светодиод, выключить светодиод, ... , записать во внешнюю память, считать из внешней памяти".

 

Проект прекрасно скопмилировался и улёгся в PlatformFlash. И, что интересно, он правильно читает и пишет эту чёртову external SRAM. Т.е. плата - рабочая, всё с ней в порядке.

 

Далее.

 

Я сделал FSM, который "по пунктам" реализует обращение на запись к памяти, частота тактовых импульсов - 1 Гц. Т.е. никаких проблем с задержками и т.п. быть не должно.

 

Первый шаг - "холостой ход", все стробы неактивны (в "1"). Если нажать на одну кнопку, то FSM переходит на второй шаг.

 

Второй шаг - на шину адреса выставляется нужный адрес, на шину данных - данные для записи (проверял на симуляторе - выставляется. Вообще, выдачу сигналов осуществлял точно так же, как и всегда - присвоением сигнала, который потом "вщёлкивается" в порт В/В или же просто присвоением значения порта В/В). Состояние ножек так же контролировал, подключив к ним светодиоды на плате (подключение внутри ПЛИС, конечно - т.е., по сути, дублирование сигналов)

 

Третий шаг - стробы CE, LB, UB перещёлкиваются в активное состояние ("0")

 

Четвёртый шаг - строб WE перещёлкивается в активное состояние ("0")

 

Пятый шаг - стробы WE, CE, LB, UB возвращаются в неактивное состояние. FSM возвращается в холостой режим.

 

Прогон на ModelSim показал, что FSM действует именно так, как я это и планировал - все стробы правильо перещёлкиваются, на шинах устанавливается то, что я хочу и процесс записи в SRAM _ДОЛЖЕН_ происходить.

 

Но НЕ происходит. Перезагрузка платы прошивкой референс-дизайна содержимое внешней SRAM не затрагивает - я это использовал для отладки своей беды.

 

UCF файл я проверил раз 10, наверное. Для пущщей убедительности, скопировал кусок UCF из референса. Все банки В/В установил в LVCMOS33 (у меня была гипотеза, что SRAM просто уровней не хватает, но гипотеза была отметена, как недостаточно безумная).

 

Короче говоря, проклятущие микросхемы клали ХУЪ на все мои попытки хотя бы записать что-нибудь...

 

Что же, чёрт побери, я упустил? :blink:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кстати, из даташита на эти чипы памяти следует, что чтение можно осуществлять, установив стробы OE, CE, LB и UB в активное состояние, и просто перещёлкивая адреса - через 10 нс после оного перещёлкивания на шине данных должно появляться соответствующее значение.

 

Я так и делаю, только жду не 10 нс, а намного, намного больше. На шине данных появляется только ZZZZ...

 

Я не понимаю. Простейшая вещь, но я ковыряюсь с ней уже третью неделю - безрезультатно!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прошу прощения за вопрос не по теме ......

Хочу купить данную плату. Но возникли некоторые вопросы.Буду признателен, если Вы сможете на них ответить :)

1. Пользовательские разъемы 3х40 полностью отданны разработчику, или нет? В мануале написано, что один из разъемов используется для внешней памяти. Эти пины можно использовать по своему?

2. прилигаются примеры для этой платы в поставке?

 

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прошу прощения за вопрос не по теме ......

Хочу купить данную плату. Но возникли некоторые вопросы.Буду признателен, если Вы сможете на них ответить :)

1. Пользовательские разъемы 3х40 полностью отданны разработчику, или нет? В мануале написано, что один из разъемов используется для внешней памяти. Эти пины можно использовать по своему?

2. прилигаются примеры для этой платы в поставке?

 

Спасибо.

 

1. часть пинов на одном из разъёмов расшарена с частью пинов микросхем памяти. Использовать параллельно можно, т.к. память неактивна, если нет соответствующих стробов

 

2. примеры на сайте производителя (Digilent Inc.)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

:help:

Понадобилось мне задействовать большой объём памяти, больший, нежели чем BlockRAM. На вышеуказанной плате (S3Board) есть два чипа шустрой асинхронной SRAM по 256к 16-битных слов в каждой. Шина адреса запараллелена, шины данных раздельные, управление ножками #WE и #OE запараллелено, ножки #CE, #UB и #LB раздельные.

 

Всё вроде просто.

 

.....................................

 

[*]Может такое быть, что сами микросхемы не работают? Мне кажется, что это очень маловероятно - всё ведь, кроме них, работает прекрасно.

[*]Как это проверить другим способом?

[*]Кто-нибудь на этой плате вообще внешнюю память использовал?

Перепаивать микросхемы не предлагайте, заменить их не на что (и ОЧЕНЬ не хочется портить плату).

 

Закинь, плиз, все исходники вот сюда: [email protected]

 

Мы на своей плате их попробуем запустить. Может, чего и найдем со свежими мозгами.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кстати, из даташита на эти чипы памяти следует, что чтение можно осуществлять, установив стробы OE, CE, LB и UB в активное состояние, и просто перещёлкивая адреса - через 10 нс после оного перещёлкивания на шине данных должно появляться соответствующее значение.

 

Я так и делаю, только жду не 10 нс, а намного, намного больше. На шине данных появляется только ZZZZ...

 

Я не понимаю. Простейшая вещь, но я ковыряюсь с ней уже третью неделю - безрезультатно!

 

Доброго Времени Суток!

 

В далеком прошлом писал дипломный проект на данной плате. Так называемый "контролер памяти ASRAM" переделал из примера VGA контроллера толи от xilinxа, толи тут же на форуме находил( на Vеrilogе). Если вопрос ещё актуален могу порыть архивы.... Ну а так вообщем ничего не тривиального в работе памяти нет. всё так и должно работать как вы описываете

" чтение можно осуществлять, установив стробы OE, CE, LB и UB в активное состояние, и просто перещёлкивая адреса - через 10 нс после оного перещёлкивания на шине данных должно появляться соответствующее значение".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Короче говоря, проклятущие микросхемы клали ХУЪ на все мои попытки хотя бы записать что-нибудь...

 

И у меня с памятью на Digilent Spartan-3 starter Kit были совершенно идентичные проблемы. Решить их так и не удалось (да не очень-то и надо было). Как же решается эта проблема?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мы на своей плате их попробуем запустить. Может, чего и найдем со свежими мозгами.

 

У вас плата на чём сделана? Spartan3 + SRAM?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мы на своей плате их попробуем запустить. Может, чего и найдем со свежими мозгами.

 

У вас плата на чём сделана? Spartan3 + SRAM?

 

 

Если вопрос ещё актуален могу порыть архивы.... Ну а так вообщем ничего не тривиального в работе памяти нет. всё так и должно работать как вы описываете

 

чем дальше, тем вопрос актуальнее. Я и сам понимаю, что всё должно так работать - и не понимаю, почему не работает :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У вас плата на чём сделана? Spartan3 + SRAM?

 

У нас есть Digilent Spartan-3 starter Kit и еще своя плата Spartan3 + SRAM

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...