Перейти к содержанию
    

Порт ввода-вывода и дешифратор PCI

Задача состоит в следующем:

необходимо написать порт ввода-вывода и дешифратор под шину PCI.

Необходимо принять данные и в зависимости от адреса направить их по двум различным источникам,а также считать информацию по команде PCI с источника и передать в шину PCI.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Посмотрел,по поводу плисов там инфы мало, но дело даже не в самой PCI, а скорее в VHDL.Ещё предложения будут?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Посмотрел,по поводу плисов там инфы мало, но дело даже не в самой PCI, а скорее в VHDL.Ещё предложения будут?

Так ведь всё равно задача сведется к тому, какое ядро PCI-контроллера ставить. Поэтому я и посоветовал посмотреть форум по PCI, там это обсуждалось не раз. А если вы уже определились с ядром или если у Вас будет стоять PLX или какой то другой интерфейсный чип, то надо было это написать.

Делать полностью самостоятельно интерфейс с PCI, будь он на VHDL или на Verilog, да еще если и срочно - замаетесь. К готовому ядру подключить дешифратор и регистры больших проблем не составит. Например, для ядра Xilinx это достаточно подробно расписано в user guide (http://www.xilinx.com/products/logicore/pci/docs/pci_ug159.pdf), правда примеры на Verilog.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...