Перейти к содержанию
    

Вопрос по SystemC

Возможно ли смешанное моделирование SystemC - VHDL ?

Например в VHDL установить компонент, написанный на SystemC

или для VHDL-компонента написать тестбенч на SystemC.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот ещё:

 

Riviera 2004.12 has extended the support for SystemC by allowing designers to instantiate VHDL and Verilog modules in SystemC code, providing complete coverage of all possible combinations of HDL and SystemC modules in the design hierarchy. The ability to instantiate HDL in SystemC, without the PLI/VHPI overhead or cumbersome wrappers, is essential to high-level testbench development in HDL. The latest release also includes the MinGW package. MinGW is an open source package that includes a GCC compiler and a GDB debugger, which allow designers to perform co-simulation with SystemC and HDL.

 

h**p://www.aldec.com/Press/Releases/?ID=276&year=2004

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...