Перейти к содержанию
    

Пополнил содержание библиотеки.

 

Tcl скриптирование

Это мой перевод 3 главы 1 раздела 2 тома Quartus II Handbook. Volume 2: Design Implementation and Optimization Chapter 3. Tcl Scripting

Скрипты представляют более детальный подход в организации и управлении проектом. Они позволяют значительно экономить время за счёт автоматизации некоторых процессов разработки. В этой главе описаны общие вопросы использования Tcl скриптов в проекте Quartus II, а также описаны основы языка TCL.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый день.

Давно не работал с Альтерой, прошу просветить по Quartus (собираюсь применить Cyclone IV GX EP4CGX110 или EP4CGX150 )

 

1.Какой Quartus поставить? Годится ли 9.1 для этого девайса или надо 10.1? кажется в 10.1 нет уже симулятора , а в 9.1 есть?

2. В чем преимущество платной версии?

3. В чем преимущество 10.1

 

кажется вопрос в тему,

спасибо

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый день.

Давно не работал с Альтерой, прошу просветить по Quartus (собираюсь применить Cyclone IV GX EP4CGX110 или EP4CGX150 )

 

1.Какой Quartus поставить? Годится ли 9.1 для этого девайса или надо 10.1? кажется в 10.1 нет уже симулятора , а в 9.1 есть?

Ответ здесь.

Доступна компиляция, симуляция и временной анализ, но не доступно генерирование программных файлов и информация о цоколёвке.

2. В чем преимущество платной версии?

Ответ здесь.

В двух словах, ограничения по высокопроизводительным FPGA (Stratics) и HardCopy, ограничения в IP ядрах, ограничения в инкрементной компиляции, и средствах отладки.

3. В чем преимущество 10.1

Ответ здесь.

Помимо полной поддержки необходимых вам чипов, улучшен движок компилятора.

кажется вопрос в тему,

спасибо

Не совсем, конечно.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Полезный ресурс (если кто еще не знает) для осваивающих ModelSim :

http://www.altera.com/customertraining/web...ModelSim_cd.htm

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Полезный ресурс (если кто еще не знает) для осваивающих ModelSim :

http://www.altera.com/customertraining/web...ModelSim_cd.htm

а почему пишет нет страницы по ссылочке?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Stewart Little,

Ваша ссылка не работает, не могли бы Вы исправить её.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а почему пишет нет страницы по ссылочке?

Altera регулярно перетряхает содержимое своего сайта.

Попробуйте: http://www.altera.com/support/software/pro...d-modelsim.html

и http://www.altera.com/education/training/c...lsim%20training

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добавляю в сигналТаб-е новые сигналы. Выскакивает окошко

---------------------------

Quartus II

---------------------------

Do you want to set the netlist type of the Top partition to Source File since you are adding pre-synthesis nodes? See the Messages window for more information.

---------------------------

&Yes &No

---------------------------

 

Не могу понять о чем оно говорит. Что будет если нажать No, и что будет если нажать Yes?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добавляю в сигналТаб-е новые сигналы. Выскакивает окошко

 

Не могу понять о чем оно говорит. Что будет если нажать No, и что будет если нажать Yes?

 

Моделсим интересуется, если вы добавляете сигналы типа "пре-синтез", то стоит ли ему изменять тип основного раздела (вашего проекта без сигналтап файла) на исходный файл со всеми вытекающими из этого последствиями?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пополнил содержание библиотеки.

 

Отладка цепей приёмопередатчика с помощью System Console

Это мой перевод 11 главы 3 раздела 3 тома Quartus II Handbook. Volume 3: Verification. 11. Transceiver Link Debugging Using the System Console

Средство Transceiver Toolkit появилось в 10 версии программы Quartus II. Оно предназначено для отладки и настройки цепей высокоскоростных приёмопередатчиков. Transceiver Toolkit позволяет проводить тестирование между платами, тестирование между чипами на одной отладочной плате, а также тестирование внутренней обратной связи в одном канале, без необходимости использования внешних обратных связей. Критерием тестирования является частота появления ошибочных битов (BER).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Привет всем. Пытаюсь запустить моделсим из квартуса.

Скачал последнюю 13-ю версию квартуса и моделсима с сайта альтеры. Написал простенький код на VHDL, скомпилировал, все гуд. Но при попытке запуска RTL анализа появляется окно с ошибкой о ненайденом исполнительном файле modelsim-altera:

post-59314-1392978410_thumb.png

 

Проверил в опциях путь, все ок:

post-59314-1392978477_thumb.png

 

Кто-нибудь узал 13-ый квартус с моделсимом? Не могу понять где косяк.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...