Veter0k 0 7 мая, 2015 Опубликовано 7 мая, 2015 · Жалоба Просто из интереса, стала задача описать делитель 50 МГц -> 1 Гц используя минимум логики в Cyclone V. Сейчас минимум в 26 регистров и 19 ALMs. Используя готовое lpm_counter - 26 регистров и 20 ALMs. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Maverick_ 15 7 мая, 2015 Опубликовано 7 мая, 2015 · Жалоба Просто из интереса, стала задача описать делитель 50 МГц -> 1 Гц используя минимум логики в Cyclone V. Сейчас минимум в 26 регистров и 19 ALMs. Используя готовое lpm_counter - 26 регистров и 20 ALMs. Чтобы меньше логики кушало можно использовать вначале PLL для деления частоты, а потом уже доделить ее на логике - в таком случае разрядность счетчика и компараторов будет меньше: process (clk,reset) begin if reset = '1' then A <= '0'; count <= (others=>'0'); elsif rising_edge(clk) then if count=x"FF" then count <= (others=>'0'); A <= not A; else count <= count + 1; end if; end if; end process; Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться