Перейти к содержанию
    

VHDL event, лист чувствительности

.....

process (mod)

 

begin

 

if mod'event mod ='1' then

.....

 

Не совсем понятно выделенное жирным шрифтом, это значит: если событие произошло? т.е. mod стал раным 1? Не встречала раньше такого нигде. И ещё вопрос, что такое лист чувствительности?

 

к примеру bool: process(clk_in, clk_out)

....

 

значит, что процесс будет изменяться по изменению этих двух сигналов? для чего это нужно?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

.....

process (mod)

 

begin

 

if mod'event mod ='1' then

 

Не совсем понятно выделенное жирным шрифтом, это значит: если событие произошло? т.е. mod стал раным 1?

атрибут 'event возвращает значение типа boolean, которое говорит о том, изменился ли сигнал или нет. Не важно, как изменился, важен сам факт изменения.

 

В процитированном коде скорее всего ошибка. Должно быть так:

   if mod'event and mod ='1' then

Это детектор восходящего фронта. Да, во многих книгах пишут так, но лучше использовать стандартную функцию rising_edge. Соответственно, falling_edge для фронта спадающего (среза).

 

Не встречала раньше такого нигде. И ещё вопрос, что такое лист чувствительности?
Много раз на форуме объяснялось. Не ленитесь пользоваться поиском.

 

к примеру bool: process(clk_in, clk_out)

....

 

значит, что процесс будет изменяться по изменению этих двух сигналов? для чего это нужно?

Это нужно, например, для описания триггера с асинхронным сбросом:

   process (Reset, Clk)
   begin
       if (Reset = '1') then
           q <= '0'; -- асинхронный сброс
       elsif (rising_edge (Clk)) then
           q <= d; -- по восходящему фронту Clk сигнал с входа передаётся на выход
       end if;
   end process;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо! if mod'event mod ='1' then, ошибка, точно)

Изменено пользователем Claw

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не совсем понятно выделенное жирным шрифтом, это значит: если событие произошло? т.е. mod стал раным 1? Не встречала раньше такого нигде. И ещё вопрос, что такое лист чувствительности?

 

к примеру bool: process(clk_in, clk_out)

....

 

значит, что процесс будет изменяться по изменению этих двух сигналов? для чего это нужно?

Лист чувствительности нужен исключительно для моделирования. Он говорит модели (testbench) в какие процессы нужно заглядывать по изменению сигналов и моделировать код оттуда.

 

Для синтеза и зашива проекта в плату можно писать

process
begin
-- ...
end process;

 

 

P.S.:

   if mod'event and mod ='1' then

А мне больше нравится немного другой вариант, который преобразуется в тоже самое

 if rising_edge(mod) then

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...