Перейти к содержанию
    

Здравсвуйте. вот наконец-то руки дошли до изучения ovm. сразу возникли проблемы как запустить.

скачал ovm-2.1.2

архив распаковал в I:\hardware\verification_env\ovm-2.1.2\

соответсвенно в переменной окружения OVM_HOME прописал этот путь.

тестовый проект создал в папке I:\hardware\test\scr

(в качестве проекта взял стандартный из примеров ovm ..\ovm-2.1.2\examples\basic_examples\ep\test.sv)

 

если запускать скрипт run_questa (который в примере), то все работает.

запустил скрипт - все заработало. далее удалил папку work и все остальное (чтобы создать проект с нуля)

 

создал прокт в моделсиме, прописал в свойствах проекта путь к OVM

(см. скрин).

скомпилировал test.sv. все окай. посмотрел в work там есть ovm_pkg значит путь к OVM моделсим подхватил нормально.

далее добавляю к проекту simulation configuration выбираю из вкладки work файл test. жму окай и потом запускаю,

моделсим дает ошибку (см. скрин).

 

подскажите, пожалуйста, где и что я делаю не так.

post-57761-1308249335_thumb.png

post-57761-1308249341_thumb.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну, раз скрипт из примера работает, то стоило его и посмотреть :)

vlib work
vlog -f compile_questa_sv.f
vsim -do vsim.do -c test

В команде vlog список для компиляции задается не явно, а в файле compile_questa_sv.f

Смотрим его

 -lint 

+incdir+$OVM_HOME/src
$OVM_HOME/src/ovm_pkg.sv
test.sv

Компилируются ДВА файла test.sv и $OVM_HOME/src/ovm_pkg.sv.

Вот второй Вы и не откомпилировали.

Ошибка на скриншоте об этом - не находится скомпилированный код ovm_pkg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Компилируются ДВА файла test.sv и $OVM_HOME/src/ovm_pkg.sv.

Вот второй Вы и не откомпилировали.

Ошибка на скриншоте об этом - не находится скомпилированный код ovm_pkg

honinbo, явное добавление этого файла в проект - не помогло.

 

----

 

запустил снова скрипт, откомпилировал все. запустил. теперь папку work чистить не стал а создал проект с уже скомпилированными исходниками. все работает.

 

----

 

теперь очистил папку ворк.

в свойствах проекта указал путь к OVM папке и добавил в проект 2 файла - test.sv и как посоветовал honinbo - ovm_pkg.sv.

 

однако ошибка. не пойму что я делать не так, может что-то не добавил в проект? или просто не правильно в проект добавляю информацию?

 

 

 

 

honinbo, явное добавление этого файла в проект - не помогло.

 

----

 

запустил снова скрипт, откомпилировал все. запустил. теперь папку work чистить не стал а создал проект с уже скомпилированными исходниками. все работает.

 

----

 

теперь очистил папку ворк.

в свойствах проекта указал путь к OVM папке и добавил в проект 2 файла - test.sv и как посоветовал honinbo - ovm_pkg.sv.

 

однако ошибка. не пойму что я делать не так, может что-то не добавил в проект? или просто не правильно в проект добавляю информацию?

 

Все, разобрался! тема закрыта

post-57761-1308253714_thumb.png

post-57761-1308253994_thumb.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...