cosmobot 0 14 июля, 2005 Опубликовано 14 июля, 2005 · Жалоба Наткнулся в книге Brooks - Microprocessor Design. Principles and Practices. With VHDL Enoch O. Hwang на вот такой пример, причем подобная ошибка встречается в книге несколько раз, что это особенность китайских стандартов vhdl ?:), просто небрежность или маленькая подлянка для начинающих, кторые полчаса будут пялиться на этот код пока поймут в чем дело? LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY AddSub IS GENERIC(n: NATURAL :=8); -- default number of bits = 8 PORT(A: IN std_logic_vector(n-1 downto 0); B: IN std_logic_vector(n-1 downto 0); subtract: IN std_logic; carry: OUT std_logic; sum: OUT std_logic_vector(n-1 downto 0)); END AddSub; ARCHITECTURE Behavioral OF AddSub IS -- temporary result with one extra bit for carry SIGNAL result: std_logic_vector(n downto 0); BEGIN PROCESS(subtract, A, B) BEGIN IF (subtract = '0') THEN -- addition --add the two operands with one extra bit for carry result <= ('0' & A)+('0' & B); sum <= result(n-1 downto 0); -- extract the n-bit result carry <= result(n); -- extract the carry bit from result ELSE -- subtraction result <= ('0' & A)-('0' & B); sum <= result(n-1 downto 0); -- extract the n-bit result carry <= result(n); -- extract the borrow bit from result END IF; END Behavioral; Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
vetal 0 14 июля, 2005 Опубликовано 14 июля, 2005 · Жалоба Вы имеете в иду незакрытый процесс и/или отсутствие сигнала result в списке чуствительности? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
CaPpuCcino 0 14 июля, 2005 Опубликовано 14 июля, 2005 · Жалоба Вы имеете в иду незакрытый процесс и/или отсутствие сигнала result в списке чуствительности? <{POST_SNAPBACK}> ага и книжкой бы не плохо было поделится -- вместе посмеёмся :) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
cosmobot 0 14 июля, 2005 Опубликовано 14 июля, 2005 · Жалоба vetal отсутствие сигнала result в списке чуствительности да , либо описание result как переменной, незакрытый процесс это как раз не проблема любой компилятор ругнется, а вот непонятные иксы при простом присваивании, не сразу поймешь если специфика hdl уже не вьелась в кровь. CaPpuCcino смейтесь наздоровье http://www.webfile.ru/405630 Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
vetal 0 14 июля, 2005 Опубликовано 14 июля, 2005 · Жалоба А смеяться тут не над чем. Т.к. данный тип опечаток/ошибок крайне сложно локализовать. Ошибку можно найти только в момент времени 0, позднее она уже поглотит всю схему. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
CaPpuCcino 0 14 июля, 2005 Опубликовано 14 июля, 2005 · Жалоба CaPpuCcino смейтесь наздоровье http://www.webfile.ru/405630 <{POST_SNAPBACK}> спасибо за книжечку я давно к ней приглядывался - вот ток руки никак не доходили заказать - мерси :bb-offtopic: Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться