Перейти к содержанию
    

Щас начнется.... :maniac:

 

возможно, что использовать MVC от Ментора имеет теоретический смысл, ну то есть покупая IP у ARM и VIP y ARM+SYNOPSYS можно наступить на грабли - типа проверяешь тем же, чем проверяли и разработчики, а сторонний тул может вскрыть некую сторону

но все-таки этот рынок требует большие затраты, поэтому на практике скорее всего будет проверка MVC а не синтезируемого кода,

ну и покупая IP, трудно отвертется от VIP, поэтому сомневаюсь в успехе продукта MVC, по-крайней мере в ближайшее время

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в нынешней волне зачем-то намешали ещё и множество трёхбуквенных слов (кстати совершенно не по делу).

Т.е. Вы заявлете, что форте все же НЕ объединило все в кучу - а именно трехбуквенные слова и верификацию синтезированного нетлиста?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А это на основании чего вы так говорите?

На основании вашего сравнительного теста что questa медленнее?

 

Квеста как раз и нужна для проектирования больших ПЛИС или АЗИКов

ибо для средних и малых плис есть ModelSim

 

А вся фишка квесты заключается в отказе от моделирования всего проекта единым тестом "в лоб" и переход к хитровые%??:%;му анализу и разбиению на более мелкие сессии моделирования.

 

Отстал от жизни. Спасибо.

 

а не разъясните разницу между Modelsim и Quest-ой?

в цифрах если можно или какая-то табличка

 

например, Синопсис собирается для FPGA VCS выпустить - так они там убирают SV

 

прошу прощения за резкое высказывание по поводу невозможности - возможно кто-то использует, но пока что этот рынок "держат" VCS и NCSIM

то есть не предлагая ничего лучше (нет ничего такого, чтобы не было у конкурентов) Ментор проигрывает по скорости и "репутации" у фабрик.

возможно, рынок можно захватить либо демпингованием по цене (но это тоже вряд ли - при цене АЗИКа в пару лимонов, экономить сотню - не перспективно), либо за счет массовости - переход с ПЛИС на АЗИК и т.п. при желании сохранить привычную среду или дешевые АЗИКи или полу-АЗИКИ (eASIC и т.п.)

посмотрим, время покажет, а спорить сейчас смысла не вижу

 

по поводу OWM - может быть более прогресивно, но VCS не поддерживает, а с NC я бы не стал пока использовать SV - полно неожиданностей

то есть для меня очень узкое направление по применеию OWM, хотя судя по обзорной доке удобнее VMM

 

 

 

Т.е. Вы заявлете, что форте все же НЕ объединило все в кучу - а именно трехбуквенные слова и верификацию синтезированного нетлиста?

 

я слышал об использовании этого продукта для синтеза (ну и вроде бы это мне понятно - симулировать SC достаточно gcc), сам никогда не пользовал - поэтому не заявляю, а скорее предполагаю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а именно трехбуквенные слова и верификацию синтезированного нетлиста?

я к сожалению совсем не осведомлён о заявленном предмете разговора. меня беспокоит больше то, что некоторые участники дискуссии как я вижу мало осведомлены о сопутствующих темах и высказываемые ими тезисы являются в лучшем случае очень далёким представлением действительности. а ведь этот форум может быть и дети читают. при этом на нашем форуме уже давно существуют достаточно основательные обсуждения и на все трёхбуквенные темы, и по абстрактному синтезу, и по конкуренции двубуквенных, и т.п.

думаю если уж заявлено в теме определённое средство разработки, то стоит сосредоточится на технологических особенностях, а не на концептуальных вопросах, тем более когда не имеешь достаточно полного охвата всех заявленых концепций.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а не разъясните разницу между Modelsim и Quest-ой?

в цифрах если можно или какая-то табличка

 

Разъясню. Но я уже пообещал что больше не буду про квесту.

Заведите, пожалуйста новую тему, там что знаю всё расскажу.

 

я к сожалению совсем не осведомлён о заявленном предмете разговора. меня беспокоит больше то, что некоторые участники дискуссии как я вижу мало осведомлены о сопутствующих темах и высказываемые ими тезисы являются в лучшем случае очень далёким представлением действительности. а ведь этот форум может быть и дети читают. при этом на нашем форуме уже давно существуют достаточно основательные обсуждения и на все трёхбуквенные темы, и по абстрактному синтезу, и по конкуренции двубуквенных, и т.п.

думаю если уж заявлено в теме определённое средство разработки, то стоит сосредоточится на технологических особенностях, а не на концептуальных вопросах, тем более когда не имеешь достаточно полного охвата всех заявленых концепций.

 

надо просто тему переименовать:

вместо Forte Cynthesizer, кто что думает?

на "от концепции до RTL, кто что думает?"

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

вместо Forte Cynthesizer, кто что думает?

на "от концепции до RTL, кто что думает?"

Э-э не надо :) Я хотел выяснить конкретно по цынтезатору... Со всем тем вкупе, с чем он там интегрируется, типа Power Compiler-а. Прежде чем подумать о возможном детальном разбирательстве с ним. И вопрос был именно о применении в этом тулчейне трехбуквенных моделей от начала (SC) и до конца (нетлист) в рамках цынтезатора. Или я вообще не понял, что они там имели в виду. А то, что тема ушла как всегда в "а квеста круче всех" это обычный побочный эффект :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Э-э не надо :) Я хотел выяснить конкретно по цынтезатору... Со всем тем вкупе, с чем он там интегрируется, типа Power Compiler-а. Прежде чем подумать о возможном детальном разбирательстве с ним. И вопрос был именно о применении в этом тулчейне трехбуквенных моделей от начала (SC) и до конца (нетлист) в рамках цынтезатора. Или я вообще не понял, что они там имели в виду. А то, что тема ушла как всегда в "а квеста круче всех" это обычный побочный эффект :)

 

Квеста не круче всех, а примерно равна (в чём то лучше, в чём то хуже), но ни как не "хуже всех", как обычно заявляется не буду говорить кем.

 

А по теме, можт тогда вы просто изложите что вас останавливает от использования форте... прям по пунктам.

Я, например, таких причин не вижу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А по теме, можт тогда вы просто изложите что вас останавливает от использования форте... прям по пунктам.

Я, например, таких причин не вижу.

А ничего, кроме времени на разбирательство. И если в нем нет ожидаемого, то и разбираться не за чем. А форум на то и есть, чтобы те, кто знает, сказал, есть оно там или нет :)

 

но ни как не "хуже всех", как обычно заявляется не буду говорить кем.

Была бы не хуже, на ней бы при ее цене сидели все фабы и околофабовые бэкендщики. Однако нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Разъясню. Но я уже пообещал что больше не буду про квесту.

Заведите, пожалуйста новую тему, там что знаю всё расскажу.

 

Прошу прощения за то, что вмешиваюсь, но просто было очень интересно узнать ответ, поэтому тему создал сам.  :)

 

 

http://electronix.ru/forum/index.php?showtopic=63609

 

 

 

Заранее спасибо!

Изменено пользователем des333

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А ничего, кроме времени на разбирательство. И если в нем нет ожидаемого, то и разбираться не за чем. А форум на то и есть, чтобы те, кто знает, сказал, есть оно там или нет :)

 

Во всех таких случаях изобретения "серебряной пули", я принял такую сторону. Пока не увижу результаты, реализованного этим тулзом серьезного проекта, верить на слово рекламе не буду.

 

2 al1

 

У меня в принципе, есть сравнение результатов синтеза некоего простого алгоритма шифрования но я их выкладывать не буду (угадайте кто победил smile.gif ) а то меня SM опять обругает. maniac.gif

Ждём "независимых" сравнений.

 

не стесняйтесь, огласите результаты, какой алгоритм и как портировался, в противном случа это простое сотрясение клавиатуры пальцами %) Если сорцы приведете будет еще лучше.

 

 

ЗЫ. Причем лучше всего С/С++ сорцы до портирования, С/С++ сорцы после портирования, Verilog/VHDL сорцы альтернативы. Ну и ТТХ : ресурс/тактуха

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пока не увижу результаты, реализованного этим тулзом серьезного проекта, верить на слово рекламе не буду.

Ну то, что у фуджиков RTL code generated by Cynthesizer meets Fujitsu Microelectronics' RTL sign off requirements - уже достаточно серьезно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну то, что у фуджиков RTL code generated by Cynthesizer meets Fujitsu Microelectronics' RTL sign off requirements - уже достаточно серьезно.

 

ну на заборе тоже много что написано %) код, детали в студию %)

 

Ни в коей мере не сомневаюсь в том что написано, но интересно как это было сделано. Помню пробывали с Celoxica заморочиться, так там условия были такие что по сути проект пишут под присмотром Celoxica, который постоянно его ведет и говорит что можно сделать и как. Так с массами работать нельзя.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну на заборе тоже много что написано %) код, детали в студию %)

Да, щаз, фуджики так свой код каокго-нить h.264 кодера в студию и зафигачили :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, щаз, фуджики так свой код каокго-нить h.264 кодера в студию и зафигачили :)

 

дык я и не прошу 264, но и приводить в примерах FIR фильтр (который делается на HDL за 5 минут) и кричать при этом смотрите какой крутой софт это уж слишком наивно. Могли хотя бы тот же движок жупег 2000 выложить, или какой нить SoC на 51 ом ядре хотя бы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну они DCT/IDCT дали, а не фир, все таки... С реализацией до RTL на TSMC 0.13

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...