Перейти к содержанию
    

Так-таки нет? Ай-я-я-яй :biggrin:

Обидеть вы не можете. А вот насмешить - изрядно. Как героиня одной из басен Ивана Андреевича.

Что-то там про ужимки и прыжки :biggrin:

Да уж. Ужимками и прыжками это Вы всё время страдаете:

"Вообще говоря в приличном общесте интегратором считается эл-т, имеющий пер. функцию для аналогового варианта: 1/s, для цифры: - 1/(1-z^-1)."

и на той же странице:

"И просто сумма в N отсчетов без децимации - обязательно интегратор,"

А в каком Вы сейчас обществе находитесь, в приличном или не совсем?

 

Я так и думал, что не знаете :(

Ну так подскажите... Ну очень прошу...:)

 

Ах, боже мой, простите ради бога, действительно, ведь это говорил Пушкин, Александр Сергеевич -

"А просто сумма в N отсчетов без децимации (скользящее среднее) уже не интегратор?"

Бог ты мой, какие повороты! :biggrin:

Где? :biggrin:

Спасибо, что напомнили... Вот только скользящее среднее действительно - интегратор и в вашей же терминологии (цитата выше). Непонятно только к чему Вы меня просили это вспомнить. Вот тут:

 

А свое заявление я уже сделал, очередь за вами, валяйте, описывайте разницу между интегралом и суммой. Предварительно найдя свой пост, где вы то ли сумматор с интегратором отождествили, то ли сумму с интегралом - запамятовал

Именно это к чему мне искать? "Разница между интегралом и суммой" и моё отождествление сумматора с интегратором? Какая связь-то? Или тут опять мелочность (моя)?

Пост 32, и что?

 

Ах, как вы мелочны! Изначально я говорил о полосе, почти свободной от наложений :biggrin:

Беда :biggrin:

И Вы же в том упоминании этой полосы даже место обозначили. Но это не важно. Так что, не давит (в полосе)? Или вам интересно от меня услышать "что" именно давит? Сигнал давит. Я ответил Вам? Или опять нет? Опять, простите, повторять по десять раз?

 

Я был бы очень польщен, если бы в "инструментарии ТК" был отражен факт моего знакомства с "этими основами" :biggrin:

Ай-яй-яй. А меня в мелочности упрекаете. Нехорошо.

 

Я не мог утверждать "не важно какой (интеграл)", ибо добиваюсь от вас имя этого интеграла :biggrin: .

Правда? :biggrin::biggrin:

"не важно какой (интеграл)" - это не Ваши слова, а мои.

Имя этого интеграла не знаю (второй раз повторяю, третий раз повторять не буду - надоело). Вот и прошу подсказать.

 

Ладно. Надоело. Сказать вы ничего не можете. Ибо понимаете, что наговорили глупостей, а теперь вертитесь, как карась на сковородке. :biggrin:

Жаль, что надоело. А то я болею и сижу дома - скучно.

Глупостей я не говорил, и вертеться тут не собираюсь и не собирался.

Глупостей-то наговорили Вы. А вот насчет верчения как карась на сковородке - так у Вас почти в каждом посте это верчение. Вам сразу про это сказал, а Вы не послушались. Только вот Ваши ужимки не смешны, от них плакать хочется. Мне по крайней мере. Вы уж простите за такие слова, ладно?

 

Теперь позвольте раскланяться:)

 

Появится желание сообщить имя интеграла - сообщайте. Без надежды, но буду ждать. Только, пожалуйста, не забудьте заодно сообщить, в каком Вы обществе в момент писания находитесь, приличном или не очень...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да уж. Ужимками и прыжками это Вы всё время страдаете:

"Вообще говоря в приличном общесте интегратором считается эл-т, имеющий пер. функцию для аналогового варианта: 1/s, для цифры: - 1/(1-z^-1)."

и на той же странице:

"И просто сумма в N отсчетов без децимации - обязательно интегратор,"

А в каком Вы сейчас обществе находитесь, в приличном или не совсем?

Ну так подскажите... Ну очень прошу...:)

Спасибо, что напомнили... Вот только скользящее среднее действительно - интегратор и в вашей же терминологии (цитата выше). Непонятно только к чему Вы меня просили это вспомнить. Вот тут:

Именно это к чему мне искать? "Разница между интегралом и суммой" и моё отождествление сумматора с интегратором? Какая связь-то? Или тут опять мелочность (моя)?

Пост 32, и что?

 

 

И Вы же в том упоминании этой полосы даже место обозначили. Но это не важно. Так что, не давит (в полосе)? Или вам интересно от меня услышать "что" именно давит? Сигнал давит. Я ответил Вам? Или опять нет? Опять, простите, повторять по десять раз?

Ай-яй-яй. А меня в мелочности упрекаете. Нехорошо.

"не важно какой (интеграл)" - это не Ваши слова, а мои.

Имя этого интеграла не знаю (второй раз повторяю, третий раз повторять не буду - надоело). Вот и прошу подсказать.

Жаль, что надоело. А то я болею и сижу дома - скучно.

Глупостей я не говорил, и вертеться тут не собираюсь и не собирался.

Глупостей-то наговорили Вы. А вот насчет верчения как карась на сковородке - так у Вас почти в каждом посте это верчение. Вам сразу про это сказал, а Вы не послушались. Только вот Ваши ужимки не смешны, от них плакать хочется. Мне по крайней мере. Вы уж простите за такие слова, ладно?

 

Теперь позвольте раскланяться:)

 

Появится желание сообщить имя интеграла - сообщайте. Без надежды, но буду ждать. Только, пожалуйста, не забудьте заодно сообщить, в каком Вы обществе в момент писания находитесь, приличном или не очень...

Да, 729, слов нет. :biggrin::biggrin:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

народ хватит засорять форум разборками, спорьте в привате!

из слов любого ответившего можно подчерпнуть что-либо новое или не согласившись с ним найти для себя опровержение его слов и не надо даказывать др. др. что вы правы.

ато я чувствую модераторы закроют тему.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но если не стремиться к истинной "битовой" точности, а ограничиться допустимой, то можно "усекать" (pruning) разрядность.U.-Meyer-Baese в книге "DSP with FPGA" в главе Hogenauer Pruning Theory очень подробно описал как это сделать грамотно (понятно что Hogenauer тоже описал, но не так подробно :-))

 

Возвращаясь к теме разрядности.

 

Решил попробывать использовать дециматор на основе CIC фильтров. Требуются следующие параметры:

M = 1, R = 16/32(переключаемая), S = 6, разрядность входа/выхода 18 бит.

 

Требуемая разрядность получается 6*log2(32) + 18 = 48бит.

 

Прочитал про усечение в "DSP with FPGA", статью Хогенаура + обсуждение подобной темы темы на сайте коллег.

 

http://www.edaboard.com/ftopic314311.html

 

1. Господа гуру правильно ли я понял что первый интегратор в любом случае будет работать на полную разрядность в 48 бит и только следующие ступени могут использовать меньшую ширину данных?

 

Так например на VirtexII в тысяыном плисе я реализовывал двухканальный FIR с коэффициентом децимации 128. При этом сожрало практически всю память плиса. А поставленный перед ним CIC, занимая небольшой объем позволил увеличить общий коэффициент децимации до 32768.

 

2. Если это так, тогда каким образом, при приемлимых ресурсах, сделали дополнительный дециматор на 256 в данном примере?

 

3. Может быть мои условия на 6 каскадов фильтра слишком жесткие и так в случае применения CIC фильтров не поступают?

 

4. Если с ресурсом обстоит все так печально, тогда в каких имено случаях следует выбирать CIC реализацию ? Не будет ли реализация децимирующего фильтра на памяти более выгодной для фпга платформ?

 

Ну и последнее автор "DSP with FPGA" ссылается на программу cic.exe для расчета усечения разрядности, в приложенном архиве только HDL файлы реализаций. Не мог бы кто нибудь поделиться сей программой ? :)

 

 

Спасибо !!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Господа гуру правильно ли я понял что первый интегратор в любом случае будет работать на полную разрядность в 48 бит и только следующие ступени могут использовать меньшую ширину данных?

Да, именно так.

 

2. Если это так, тогда каким образом, при приемлимых ресурсах, сделали дополнительный дециматор на 256 в данном примере?

Не могу сказать - надо всю ветку прочитать, а времени нет.

 

3. Может быть мои условия на 6 каскадов фильтра слишком жесткие и так в случае применения CIC фильтров не поступают?

Порядок расчитывается исходя из задачи - максимального уровня помехи от децимации как в полосе пропускания всего DDC, так и в переходной полосе и полосе задержания. Это расчитывается. Опишите условия - посчитаю Вам возможные порядки. Не знаю как работает cic.exe, пользуюсь своей считалкой.

 

4. Если с ресурсом обстоит все так печально, тогда в каких имено случаях следует выбирать CIC реализацию ? Не будет ли реализация децимирующего фильтра на памяти более выгодной для фпга платформ?

Тут только Вы сами можете решить.

 

Но в Вашем конкретном случае может оказаться, что есть другие и более простые варианты. Опишите задачу поподробнее, начиная с частотных параметров входного сигнала, частоты дискретизации, требований к подавлениям и прочее. Напимер, в случаяе работы устройства на ПЧ можно за счет фильтра ПЧ (до АЦП) значительно снизить требования к децимирцющим фильтрам.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Порядок расчитывается исходя из задачи - максимального уровня помехи от децимации как в полосе пропускания всего DDC, так и в переходной полосе и полосе задержания. Это расчитывается. Опишите условия - посчитаю Вам возможные порядки.

 

Опишите задачу поподробнее, начиная с частотных параметров входного сигнала, частоты дискретизации, требований к подавлениям и прочее.

 

да задача простая, ацп нарезает сигнал на околонулевой ПЧ на частоте 128/64*fВерхнее, для демодуляции мне нужно перенести его на 4*fВерхнее. Т.е. коэффициент децимации переключаемый 32/16. Дециматор стоит после комплексного смесителя на выходе которого 18 бит. Требования к дециматору по подавлению не менее 60Дб.

 

Построил частотные характеристики из них видно что для децимации на 16 требуется 6 FIR 16го порядка. В этом случае подавление на частоте 3*fВерхнее составит 62.5Дб. Для децимации на 32 и 6 FIR 32го порядка подавление составит 62.68Дб.

 

Если кратко то вот так. В данный момент рассматриваю 2 реализации : на CIC фильтрах и FIRах на памяти. В CIC смущает разрядность в 48 бит. Хотя тактовая у меня низкая (<50МГц) и проблем с синтезом такого интегратора не будет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да задача простая, ацп нарезает сигнал на околонулевой ПЧ на частоте 128/64*fВерхнее, для демодуляции мне нужно перенести его на 4*fВерхнее. Т.е. коэффициент децимации переключаемый 32/16. Дециматор стоит после комплексного смесителя на выходе которого 18 бит. Требования к дециматору по подавлению не менее 60Дб.

Как соотносится частота дискретизации к fВерхнее?

Какова полоса сигнала на частоте ПЧ?

Так ли нужно для подавления не менее 60Дб так много (18) входных/выходных разрядов?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как соотносится частота дискретизации к fВерхнее?

Какова полоса сигнала на частоте ПЧ?

Так ли нужно для подавления не менее 60Дб так много (18) входных/выходных разрядов?

 

про частоту дискретизации не совсем понял, вроде я говорил что 128/64 раза.

 

Частота дискретизации АЦП = 46.421 МГц, ширина спектр сигнала 1.86МГц/0.93МГц(модем с переключаемой полосой), fверхнее - верхняя частота спектра по найквисту 0.725МГц/0.362МГц соответственно(скругление 1.26).

 

60дБ набирается за счет количества фильтров, а не разрядности. Т.к. расчет я вел для FIR со всеми единичным коэффициентами. 18 бит это разрядность на выходе комплексного смесителя, на входе которого 12х12 бит ну и взят запас по разрядности.

 

 

PS. по экспериментам FIR на памяти, по ресурсу получается выгоднее, кол-во сумматоров одинаковое, а разрядность намного ниже.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть предложение перейти в личку. не возражаете?

Изменено пользователем 729

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть предложение перейти в личку. не возражаете?

 

без проблем, дополнительно кинул свои контакты вам в личку

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, именно так.

Не могу сказать - надо всю ветку прочитать, а времени нет.

Порядок расчитывается исходя из задачи - максимального уровня помехи от децимации как в полосе пропускания всего DDC, так и в переходной полосе и полосе задержания. Это расчитывается. Опишите условия - посчитаю Вам возможные порядки. Не знаю как работает cic.exe, пользуюсь своей считалкой.

Тут только Вы сами можете решить.

 

Но в Вашем конкретном случае может оказаться, что есть другие и более простые варианты. Опишите задачу поподробнее, начиная с частотных параметров входного сигнала, частоты дискретизации, требований к подавлениям и прочее. Напимер, в случаяе работы устройства на ПЧ можно за счет фильтра ПЧ (до АЦП) значительно снизить требования к децимирцющим фильтрам.

 

Не согласен, разрядность аккумуляторов нарастает с каждой секцией на log2(M*R). Комб секция делается на одном вычитателе + распределенная память. Так что ресурсов не много, но корректирующий фильтр ставить придётся. Это если на Spartan или Cyclone, а если на Virtex и DSP48, то лучше FIR фильтра ничего не придумать, порядок фильтра 450*10^6/частота после прореживания довольно большой

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не согласен, разрядность аккумуляторов нарастает с каждой секцией на log2(M*R).

Да. И в результате на выходе получаем сумашедшую разрядность. Если последующие блоки обработки это "терпят", то хорошо.

Однако если выходные данные CIC фильтра "обрезаются" по разрядности, то начинают грызть сомнения по поводу пустого расходования ресурсов. Некая методика последовательного уменьшения разрядности сумматоров для этого случая приведена в упомянутых выше работах.

Ссылка на статью в 25 посте этго топика. Где-то ниже есть ссылка и на другую книгу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не согласен, разрядность аккумуляторов нарастает с каждой секцией на log2(M*R). Комб секция делается на одном вычитателе + распределенная память.

 

Если я правильно понял Хогенауэра, то вы не правы. Разрядность первого интегратора в дециматоре должна быть самой большой N*log(R*M) + Bin, а вот дальше она может уменьшаться с шагом порядка 3-х бит на звено (эмпирическая формула выведенная участником форума с www.electroda.ru).

 

вот и получается, как я уже писал, что по ресурсу логики, FIR на памяти, получается меньше чем эквивалентный CIC. Но расход памяти больше на альтеровской платформе, на хилых они сравниваются из-за распределенной памяти.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

PS. Нашел неплохую статью про CIC фильтры, с примерами систем. В этой теме вроде не было этой ссылки

 

http://www.informit.com/articles/article.a...85&seqNum=1

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

PS. Нашел неплохую статью про CIC фильтры, с примерами систем. В этой теме вроде не было этой ссылки

 

http://www.informit.com/articles/article.a...85&seqNum=1

 

Хорошая глава из книжки, всю бы целиком скачать...

Там ещё скрипты матлабовские выложены к книге:

http://www.informit.com/content/images/013...ate_read_me.pdf

http://www.informit.com/content/images/013...rate_script.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...