Перейти к содержанию
    

Поделить 10 МГц с помощью PLL на 3125

а, понял, Вы уже это попробовали, еще в первом посту.

Думаю, что с PLL напрямую и не получится получить 3 кГц, хотя визард сразу и не плюется на такие настройки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Провел натурные испытания.

На входе PLL 10 МГц. Выход C0 подключил к пину и наблюдаю осциллографом.

При multiplication 1 и division 3125 - на пине отличный меандр частотой 3.200 кГц.

Более того, попробовал увеличить коэффициент деления.

Максимально визард даёт поставить 8500, при этом VCO=300.000, а на пине 1.176 кГц.

Вот такие пироги... Как теперь с этим жить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А в чём печаль ? делим исходную частоту насколь надо и стробируем её (выходом счётчика). получаем импульсы нужной частоты и длительность 100 нС.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

можете посмотреть, что за конструкция получилась в результате синтеза? 

Если верить даташиту - получается, как будто к блоку PLL подцепили еще и дополнительный делитель, сделанный на логике.

VCO=300 - тоже за пределами даташитных данных. Может, попробовать сделать дополнительный выход на еще одну ногу, с вменяемым делителем от VCO (там их несколько штук) - скажем, на 32 - и проконтролировать полученную частоту...

Хотя - как знать, может на самом деле визард переиграл заданные настройки, сделал VCO = 600 и где-то поделил дополнительным триггером на 2...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 часов назад, Yuri124 сказал:

можете посмотреть, что за конструкция получилась в результате синтеза? 

Вот, всё что смог ... )

5.thumb.jpg.57a0d5f3b50e31ba0edec243b7f556b6.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Альтеровцы мне как-то писали, что последнее слово - за Квартусом.

Хотя это как по мне - не стыкуется с даташитом, но ведь осциллограф показывает то, что задумывалось...

Для успокоения может стоило всё же им задать вопрос...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...