Nick_K 0 14 июля, 2020 Опубликовано 14 июля, 2020 · Жалоба 3 minutes ago, andrew_b said: По дефолу wire. Если выход reg, то писать надо обязательно. Ну так нужно с дефолтными и работать, а не чушь писать module LPT_ASK(input wire clk, input wire [7:0]Data, input wire strobe, output reg TX, output reg [3:0]TX_data_bit); ... endmodule module assignerer ( input wire clk, input wire [7:0]Data, input wire strobe, output wire TX_final, output wire [3:0] TX_final_data_bit ); wire LPT_ASK_to_assignerer; wire LPT_ASK_to_assignerer2; LPT_ASK LPT_ASK1 ( ... .TX (LPT_ASK_to_assignerer), .TX_data_bit (LPT_ASK_to_assignerer2) ); assign TX_final = LPT_ASK_to_assignerer; assign TX_final_data_bit = LPT_ASK_to_assignerer2; endmodule Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться