Перейти к содержанию
    

Использование регистра как входные данные в другом модуле

3 minutes ago, andrew_b said:

По дефолу wire. Если выход reg, то писать надо обязательно.

Ну так нужно с дефолтными и работать, а не чушь писать :wink:

module LPT_ASK(input wire clk,
                    input wire [7:0]Data,
                    input wire strobe,
                    output reg TX,
                    output reg [3:0]TX_data_bit);
...
endmodule

module assignerer
(
                    input wire clk,
                    input wire [7:0]Data,
                    input wire strobe,
                    output wire TX_final,
                    output wire [3:0] TX_final_data_bit
);
wire LPT_ASK_to_assignerer;
wire LPT_ASK_to_assignerer2;
 

 
LPT_ASK LPT_ASK1
    (
        ...
        .TX (LPT_ASK_to_assignerer),
        .TX_data_bit (LPT_ASK_to_assignerer2)
    );

    assign TX_final = LPT_ASK_to_assignerer;
    assign TX_final_data_bit = LPT_ASK_to_assignerer2;

endmodule

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...