Перейти к содержанию
    

а можно в SignalTap сделать, чтобы триггер сработал до подключения по JTAGу?

то есть при включении ПЛИС (сразу после загрузки _не_ по JTAG-у, а по пасив_сериалу или подобному интерфейсу) сразу начать следить за триггером и записывать данные, не дожидаясь подключения по JTAG-у?

ну а когда подключается "анализатор" то сразу чтобы рисовал то, что уже защелкнуто.

может понадобится, чтобы отловить некий начальный момент с запуском (конфигурацией) ПЛИС от внешнего процессора - посмотреть, что этот процессор делает

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, yes сказал:

то есть при включении ПЛИС (сразу после загрузки _не_ по JTAG-у, а по пасив_сериалу или подобному интерфейсу) сразу начать следить за триггером и записывать данные, не дожидаясь подключения по JTAG-у?

ну а когда подключается "анализатор" то сразу чтобы рисовал то, что уже защелкнуто.

может понадобится, чтобы отловить некий начальный момент с запуском (конфигурацией) ПЛИС от внешнего процессора - посмотреть, что этот процессор делает

Такое?

Quartus Основы №10      Смотреть с 2:23:30

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 3/21/2020 at 12:00 AM, Jackov said:

Такое?

Quartus Основы №10      Смотреть с 2:23:30

не увидел (помотал немного туда-сюда), там он грузит Вы (я так понимаю, что авторство видеоурока ваше?) грузите по JTAG-у (upd дальше наверно и иначе, смотреть уже нет смысла), то есть вряд ли подходит

ну и вообще, может я стар уже "ту олд фор зис щит", но эти видосики просто пипец, по-моему, 2 часа просмотра "я ни осилю"

----------

сории, с 2:23:30 посмотрел, но разве нельзя было просто сказать - свойства LA / power-up trigger, а не снимать 15 минут?

спасибо, за видео, конечно, но неужели это лучше?

----------

upd2: спасибо. работает.

но у латтиса (собственно там эту фичу увидел) по-моему разумнее сделано - там этот POR триггер просто enable, который разрешает работу основного триггера - то есть заводишь какую-нибудь цепь с POR блока...

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не думал что так можно. Я в таких случаях логи писал в буфер, а потом jtag'ом через In System Memory Content быстренько вычитывал

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

8 часов назад, yes сказал:

а не снимать 15 минут?

спасибо, за видео, конечно, но неужели это лучше?

Сложно сказать, что лучше. Видосы ориентировал на начинающих, потому старался рассказать как можно подробнее. С другой стороны, такие затяжные видосы мало кто смотрит. Сейчас, думается, надо было просто на более мелкие разбивать, их было бы и по количеству больше и смотрели бы, наверно, лучше.

 

8 часов назад, new123 сказал:

не думал что так можно.

Там даже к SignalTap-у можно свой собственный вериложный модуль прикрутить, этот модуль становится частью SignalTap-а, и в нём расписать логику какой-нибудь сложной, заковыристой синхронизации. Мощная штука в общем.

Изменено пользователем Jackov

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

17 minutes ago, Jackov said:

Сложно сказать, что лучше. Видосы ориентировал на начинающих, потому старался рассказать как можно подробнее. С другой стороны, такие затяжные видосы мало кто смотрит. Сейчас, думается, надо было просто на более мелкие разбивать, их было бы и по количеству больше и смотрели бы, наверно, лучше.

 

Там даже к SignalTap-у можно свой собственный вериложный модуль прикрутить, этот модуль становится частью SignalTap-а, и в нём расписать логику какой-нибудь сложной, заковыристой синхронизации. Мощная штука в общем.

 

да, если оглавление со ссылками на части видео - наверно лучше. но это еще дополнительные трудозатраты к съемке видео :)

а по поводу верилог-модуля - ну если это как-то к интерфейсу привязать (хотя по-моему тоже излишне), то имеет смысл - а так добавить любую логику, которая генерит импульс синхронизации, по-моему проще.

------

есть кстати платформонезависимые анализаторы - и на опенкоресах и у Гейслера в grlib-е, но я так и не видел интерфейсов, которые вытягивают сигналы и vcd пишут (хотя бы) для GTKWAVE например...

то есть платформозависимые все-таки лучше, какими они не были бы :)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 hours ago, yes said:

добавить любую логику, которая генерит импульс синхронизации

только не забыть указать компилятору, чтобы не выбросил ее в процессе оптимизации ))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...