Перейти к содержанию
    

Zynq XC7Z010-1CLG400I схема *.dsn в оркаде

Добрый день, решил спроектировать свою плату на этом процессоре. Попытался скачать оригинальны схемы  китов с официального сайта. Зарегистрировался - облом, ксайлинкс не дает скачивать  эти файлы из-за экспортных ограничений. Может у кого есть  в формате DSN (оркад) или где можно скачать. Хотя бы символы чипа сконвертил в свою библиотеку. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а разве есть оригинальные киты для xc7z010s ??? для 020 есть, а для 010 нету. Посему где облом?

а то что найдено - так это галимый китай. а китайцы никому (так просто) не отдают...

Изменено пользователем Alex77

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 hours ago, Alex77 said:

а разве есть оригинальные киты для xc7z010s ??? для 020 есть, а для 010 нету. Посему где облом?

а то что найдено - так это галимый китай. а китайцы никому (так просто) не отдают...

 

Ошибка в названии темы, уже исправил. Нужны 7000, двухъядерные. 010 и 020 pin-to-pin. В приведенном архиве есть оркадовская либа, уже создал компонент под VX2  Можно двигаться дальше. Хотелось бы уйти на шесть слоев вместо восьми.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не хочу быть занудой, но:

- xilinx НЕ разрабатывал устройство что расположено по выше указанной ссылке, и как следствие претензий к xilinx быть не может (так же санкции тоже не причём).

- Вам достаточен тот корпус что есть в той " оркадовской либе" ? или там есть все разновидности корпусов (в частности для 020 это CLG400  и CLG484)

- я не очень доверяю китайцам - тк проект самой плисы в примерах приведён на "отвали" и пестрит красными еррорами.

- почему не посмотреть на оригинальные (от xilinx/digilent/avnet) демо платы на тамошнее описание (требования) к разводке pcb ? а так же как разведено питание, память и др.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

32 minutes ago, Alex77 said:

не хочу быть занудой, но:

- xilinx НЕ разрабатывал устройство что расположено по выше указанной ссылке, и как следствие претензий к xilinx быть не может (так же санкции тоже не причём).

- Вам достаточен тот корпус что есть в той " оркадовской либе" ? или там есть все разновидности корпусов (в частности для 020 это CLG400  и CLG484)

- я не очень доверяю китайцам - тк проект самой плисы в примерах приведён на "отвали" и пестрит красными еррорами.

- почему не посмотреть на оригинальные (от xilinx/digilent/avnet) демо платы на тамошнее описание (требования) к разводке pcb ? а так же как разведено питание, память и др.

- У меня нет никаких претензий к ксайлинксу

- Мне достаточно CLG400 корпуса, о чем и указал в названии темы

- Не думаю, чтобы они создавали библиотечный символ с нуля, как обычно - передрали с оригинальной схемы. Если плата работает и продается- в чем могут быть претензии?

- Обязательно посмотрю, но позже. Пока схем в PDF достаточно

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 2/5/2019 at 5:25 PM, КонстантинТ said:

- У меня нет никаких претензий к ксайлинксу

- Мне достаточно CLG400 корпуса, о чем и указал в названии темы

- Не думаю, чтобы они создавали библиотечный символ с нуля, как обычно - передрали с оригинальной схемы. Если плата работает и продается- в чем могут быть претензии?

- Обязательно посмотрю, но позже. Пока схем в PDF достаточно

В orcad есть fpga system planner, он генерирует и символы и схему с именами цепей по xdc файлу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...