Перейти к содержанию
    

CLK domain crossing

Здравствуйте!

Столкнулся с проблемой:

Мне необходимо из частоты 8МГц передать данные и флаг в частоту 30Мгц, я ставлю 2 д-триггера, так как переход из частоты в частоту.

module();

always@(posedge CLK_8MHz) 
begin
// . . .
    DATA_PRELOAD[19:8]<=DATA_KEEP[11:0];
    start<=1'b1;
// . . .
end

reg [1:0] s_start;                        
reg [23:0] sPRELOAD1;                    
reg [23:0] sPRELOAD2;
always@(posedge CLK_30)
begin
    s_start [1:0]<={s_start[0], start};  //Синх флага
    sPRELOAD1<=DATA_PRELOAD[31:8];            // Синх данных
    sPRELOAD2<=sPRELOAD1;
end

always@(posedge CLK_30)
begin
// . . .
    if(s_start[1])
        DAT<=sPRELOAD2[numbit];
// . . .
end
endmodule

 

Clasic Timing Analyzer, мне выдает следующие ошибки, и я ничего не могу с ними поделать, что это значит? Правильно-ли я делаю?

post-86990-1455712173_thumb.jpg

Спасибо.

Изменено пользователем woofer46

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте!

Столкнулся с проблемой:

Мне необходимо из частоты 8МГц передать данные и флаг в частоту 30Мгц, я ставлю 2 д-триггера, так как переход из частоты в частоту.

Clasic Timing Analyzer, мне выдает следующие ошибки, и я ничего не могу с ними поделать, что это значит? Правильно-ли я делаю?

post-86990-1455712173_thumb.jpg

Спасибо.

 

Вам надо установить false_paths между 8 MГц и 30 МГц и (если нужно) задать max_delay и min_delay для вашего флага. Более детально см. http://www.alteraforum.com/forum/showthread.php?t=26157

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

woofer46

Вы прочитали статьи, как говорили?

неужели там Вашего случая не было....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сигналов у Вас много поэтому схема с их параллельным переносом через цепочку триггеров не совсем верна, ибо Вы не имеете гарантии, что все они защелкнуться по одному фронту принимающего clocking domain, в данном случае более применима схема с хендшейком. Пример

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...