Перейти к содержанию
    

Вывод на экран одного char в VHDL

суть проблемы такова -- хочется на консоль выводить символы, принимаемые UART'ом, для этого использую конструкцию типа

write(l, character'val(conv_integer(rxbuf)));
writeline(output, l);

 

Понятно, что получаю ерунду -- после каждого символа vsim вставляет перенос строки.

 

Не подскажете -- можно ли заставить textio НЕ выводить перевод строки?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

library ieee;
use std.textio.all;

entity test_write is
end entity test_write;

architecture beh of test_write is

begin

  GenProc : process
  begin
    write(OUTPUT, "K");
    write(OUTPUT, "" & LF);
    wait for 10 ns;
  end process GenProc;

end architecture beh;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо! Как-то не подумал, что можно просто write'ом в output писать

У вас Modelsim? В Active HDL каждый write тоже вставляет перевод строки.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...