Перейти к содержанию
    

Можно ли моделировать в ModelSim одноврменно vhd и verilog модули ?

Есть необходимость моделировать блоки написанные на разных языках.

Как это можно сделать? В нете как то упоминалось что можно некотрые блоки скомпилировать в библиотеки но как это сделать так и не понял.

А сейчас это очень нужно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Блоки на языках Verilog и VHDL моделируются непосредственно на основании исходников, ничего делать не надо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если Вам требуется одновременное моделирование блоков написанных на разных(VHDL, Verilog) языках, то у Вас должна быть соответствующая лицензия к ModelSim.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если Вам требуется одновременное моделирование блоков написанных на разных(VHDL, Verilog) языках, то у Вас должна быть соответствующая лицензия к ModelSim.

Видно этой лицензии и не хватает... Не можите поделиться вашей, если она у вас есть?

Порылся в закромах, сделал лицензию, но моделсим ае продолжает упорно говорить что не лицензии для моделирования схем на разных языках.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для моделирования на разных языках надо использовать Modelsim SE, а не Modelsim-Altera. В сети найдите файл MentorGraphics_GLOBAL License Generator.rar

и им сгенерируйте лицензию на своем компе. Удачи.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

лицензии для моделирования схем на разных языках.

 

gate level simulation должен поддерживать. Именно временное моделирование было по умолчанию во всех квартусах со встроенным симлятором. Да и проекты на АльтераHDL в моделсиме теперь просматривают как то.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...