Перейти к содержанию
    

Буфер 74ALS245

Вопрос про аналоговое поведение цифрового компонента, значит - сюда :)

Буфер настроен на передачу. Ноги 1 и 19 - на земле. Питание - +5V. На вход буфера подаются цифровые сигналы с ПЛИС. Питание ПЛИС - +3.3V. Уровень логической еденицы ПЛИС никак не меньше 3V. Шесть входов буфера - это редко меняющиеся сигналы. Седьмой - меандр 1 КГц, восьмой - меандр 500 КГц. Т е входы в воздухе не висят. На выходе канала 1 КГц наблюдаем как бы-сумму двух сигналов:

повторение входного сигнала 1 КГц + небольшая "шапка" из сигнала 500 КГц. Соотношение между ними примерно 3.5 / 1.

И наоборот. Канал 1 КГц влияет на канал 500 КГц.

Вопрос: у кого едет крыша? У буфера или мне пора в отпуск?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Во первых 74ALS245 это двунаправленный формирователь шины, а Вы его просто пытаетесь использовать как буфер, что в общемто ничему не противоречит. Заземлив выводы 74ALS245 1 и 19, у Вас получилось направление передачи сигнала с B на A (выводы 18,17,16,15,14,13,12,11 -> 2,3,4,5,6,7,8,9).

На какие входы Вы подаете логические сигналы с ПЛИС?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Во первых 74ALS245 это двунаправленный формирователь шины, а Вы его просто пытаетесь использовать как буфер, что в общемто ничему не противоречит.

На какие входы Вы подаете логические сигналы с ПЛИС?

Ну да. Я его и использую как буфер. Могу изменить направление передачи джампером (в этом случае вывод 1 подключится на 5В через резистор 1К). А за то, что ALS245 изначально назвал буфером, извиняйте, перетрудился :rolleyes:

Заземлив выводы 74ALS245 1 и 19, у Вас получилось направление передачи сигнала с B на A (выводы 18,17,16,15,14,13,12,11 -> 2,3,4,5,6,7,8,9).

На какие входы Вы подаете логические сигналы с ПЛИС?

Ну натурально, на 18,17,16,15,14,13,12,11, на какие ж еще :)

Все это взаимовлияние не сказывается на работе схемы, но все равно - противно на это смотреть. С нагрузкой или на холостом ходу - все едино. Или так и должно быть, а я типа капризничаю...

Да, кстати, если это важно - ПЛИС XC95288XL-6TQ144C.

Изменено пользователем Alexandr

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

http://focus.ti.com/docs/prod/folders/print/sn74lvc245a.html

 

попробуйте этого зверя для питания используйте 3.3V

 

проверено работает

 

удачи

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вопрос про аналоговое поведение цифрового компонента, значит - сюда  :)

Буфер настроен на передачу. Ноги 1 и 19 - на земле. Питание - +5V. На вход буфера подаются цифровые сигналы с ПЛИС. Питание ПЛИС - +3.3V. Уровень логической еденицы ПЛИС никак не меньше 3V. Шесть входов буфера - это редко меняющиеся сигналы. Седьмой - меандр 1 КГц, восьмой - меандр 500 КГц. Т е входы в воздухе не висят. На выходе канала 1 КГц наблюдаем как бы-сумму двух сигналов:

повторение входного сигнала 1 КГц + небольшая "шапка" из сигнала 500 КГц. Соотношение между ними примерно 3.5 / 1.

И наоборот. Канал 1 КГц влияет на канал 500 КГц.

Вопрос: у кого едет крыша? У буфера или мне пора в отпуск?

 

Я бы на Вашем месте проверил, не коротятся ли выходы 500кГц и 1кГц или нет ли между ними какой-либо небольшой резистивной связи (ну там может сопля из олова висит, или мусор какой попал - проверяется омметром).

И ещё стоит посмотреть нормально ли обеспечено питание, в том смысле стоят ли конденсаторы на питательных выводах буфера, если стоят - то какие (может номиналы не те) и работают ли они (нужно проверить нет ли обрыва, исправность, а для полярных ещё и правильность включения).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

или VCCIO  заведите на 5 вольт для одного банка где делаете сопряжение

Вы намекаете что уровня еденицы 3В для ALS245 мало? Да, наверное, так оно и есть. Видимо, шинный формирователь не совсем цифровая микросхема. Мне тут подсказывают, что у шинных формирователей должен быть гистерезис по входу и пороговый уровень еденицы получается как раз около 3В, а не 1,5В как обычно. Наверное, в этом и есть засада.

удачи!!!!

Спасибо :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вы намекаете что уровня еденицы 3В для ALS245 мало? Да, наверное, так оно и есть. Видимо, шинный формирователь не совсем цифровая микросхема. Мне тут подсказывают, что у шинных формирователей должен быть гистерезис по входу и пороговый уровень еденицы получается как раз около 3В, а не 1,5В как обычно. Наверное, в этом и есть засада.

Минимальный уровень единицы для 74ALS245 - 2В, если вам этого недостаточно подтяните входы 74ALS245 резисторами до 3В. 1В в запасе это довольно прилично.

 

В общем подобное 'пролезание' (наложение) может быть из-за 'плохой' земли (если есть обрыв или в результате 'чего-то' получилось достаточно большое сопротивление - порядка сотен Ом). Неисключен вариант и с замыканием, что говорили выше...

Попробуйте перекинуть сигналы на другие группы микросхемы. Так же необходимо проверить что происходит на выходах ПЛИС предварительно отсоединившись от 74ALS245. Проверьте какое питание на 74ALS245, попробуйте заблокировать конденсатором (фильтрация помех).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

М-да-а... Приятно, конечно что все стремятся помочь. Но советы... Посмотреть питание осциллографом, проверить на замыкание, обрывы, грязь, пылинки и т.д. - я ж не пионер-радиолюбитель. Естественно, все это сделано. Вопрос-то по сути теоретический. На плате 3 формирователя. И все ведут себя одинаково. Мне кажется, что это из-за недостаточного уровня напряжения логической еденицы на их входах. К сожалению, на ПЛИС XC95288XL-6TQ144C нельзя подать питание выходных каскадов 5В. Максимально допустимое - 3,6 В. Плата макетная, работает нормально. Решать эту проблему буду после окончания макетирования.

Всем спасибо! Успехов!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

если нет возможноси завести 5вольт на Xilinx то

поствьте подтяки на пины которые сопрягаете а в VHDL code добавьте:

 

port (a: in std_logic;

b: inout: std_logic)

end <<entity>>

 

architecture ......

 

b <= '0' when a = '0' else 'z';

 

и дальше по теме

так тоже можно работает

 

удачи!!!!!!!!!!!!!!!!!!!!!

 

95XL288 5V tollerant так что будет работать

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

port (a: in std_logic;

b: inout: std_logic)

end <<entity>>

 

architecture ......

 

b <= '0' when a = '0' else 'z';

Прикольный приемчик :)

Я сделал проще. Заменил ALS на ACT. Теперь к работе формирователей претензий нет. Работают так, как им и положено. И сейчас думаю: то ли наш поставщик 74ALS245 на помойке раздобыл, то ли они изначально такие и применять их вообще не стоит?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...