Перейти к содержанию
    

Поиск

Показаны результаты для тегов 'lengh matching'.

  • Поиск по тегам

    Введите теги через запятую.
  • Поиск по автору

Тип контента


Форумы

  • Сайт и форум
    • Новости и обсуждения сайта и форума
    • Другие известные форумы и сайты по электронике
    • В помощь начинающему
    • International Forum
    • Образование в области электроники
    • Обучающие видео-материалы и обмен опытом
  • Cистемный уровень проектирования
    • Вопросы системного уровня проектирования
    • Математика и Физика
    • Операционные системы
    • Документация
    • Системы CAD/CAM/CAE/PLM
    • Разработка цифровых, аналоговых, аналого-цифровых ИС
    • Электробезопасность и ЭМС
    • Управление проектами
    • Нейронные сети и машинное обучение (NN/ML)
  • Программируемая логика ПЛИС (FPGA,CPLD, PLD)
    • Среды разработки - обсуждаем САПРы
    • Работаем с ПЛИС, области применения, выбор
    • Языки проектирования на ПЛИС (FPGA)
    • Системы на ПЛИС - System on a Programmable Chip (SoPC)
    • Методы и средства верификации ПЛИС/ASIC
  • Цифровая обработка сигналов - ЦОС (DSP)
    • Сигнальные процессоры и их программирование - DSP
    • Алгоритмы ЦОС (DSP)
  • Микроконтроллеры (MCU)
    • Cредства разработки для МК
    • ARM
    • RISC-V
    • AVR
    • MSP430
    • Все остальные микроконтроллеры
    • Отладочные платы
  • Печатные платы (PCB)
    • Разрабатываем ПП в САПР - PCB development
    • Работаем с трассировкой
    • Изготовление ПП - PCB manufacturing
  • Сборка РЭУ
    • Пайка и монтаж
    • Корпуса
    • Вопросы надежности и испытаний
  • Аналоговая и цифровая техника, прикладная электроника
    • Вопросы аналоговой техники
    • Цифровые схемы, высокоскоростные ЦС
    • RF & Microwave Design
    • Метрология, датчики, измерительная техника
    • АВТО электроника
    • Умный дом
    • 3D печать
    • Робототехника
    • Ремонт и отладка
  • Силовая электроника - Power Electronics
    • Силовая Преобразовательная Техника
    • Обратная Связь, Стабилизация, Регулирование, Компенсация
    • Первичные и Вторичные Химические Источники Питания
    • Высоковольтные Устройства - High-Voltage
    • Электрические машины, Электропривод и Управление
    • Индукционный Нагрев - Induction Heating
    • Системы Охлаждения, Тепловой Расчет – Cooling Systems
    • Моделирование и Анализ Силовых Устройств – Power Supply Simulation
    • Компоненты Силовой Электроники - Parts for Power Supply Design
  • Интерфейсы
    • Форумы по интерфейсам
  • Поставщики компонентов для электроники
    • Поставщики всего остального
    • Компоненты
  • Майнеры криптовалют и их разработка, BitCoin, LightCoin, Dash, Zcash, Эфир
    • Обсуждение Майнеров, их поставки и производства
  • Дополнительные разделы - Additional sections
    • Встречи и поздравления
    • Ищу работу
    • Предлагаю работу
    • Куплю
    • Продам
    • Объявления пользователей
    • Общение заказчиков и потребителей электронных разработок

Поиск результатов в...

Поиск контента, содержащего...


Дата создания

  • Начало

    Конец


Дата обновления

  • Начало

    Конец


Фильтр по количеству...

Регистрация

  • Начало

    Конец


Группа


AIM


MSN


Сайт


ICQ


Yahoo


Jabber


Skype


Город


Код проверки


skype


Facebook


Vkontakte


LinkedIn


Twitter


G+


Одноклассники


Звание

Найдено: 0 результатов

  1. Добрый вечер! Понадобилось сделать плату - переходник от FPGA Development board (разъем IDC-40) на DVI. Предполагается передавать данные lvds-ом, 4 дифференициальных бита данных, один дифференциальный такт. Хотел при разводке выравнять длины проводников. Но у меня вопрос - а что делать с угловым разъемом DVI? Таким, как на рисунке. У него же, наверное, разная длина проводника от пина до разъема для разных рядов? Как это учитывать? Где найти эту информацию (длины для разных рядов?). Или он так сделан, что длины одинаковые???
  2. Всем привет! Возник вопрос, можно-ли в Expedition автоматически проконтролировать отдельные длины цепей для отдельных Pin pair в цепях питания? Эта задача связана с разводкой цепей подключения шунта для микросхемы fuel gauge LiPol аккумулятора. Пример схемы: Линии подключения к контактам SPR/SRP должны иметь равную длину. Т.е. длины отрезков цепей для Pin pair SPR-Rsense(1) и SPN-Rsense(2) должны быть равны. Цепи VSYS и PACK+ на плате разводятся с использованием полигонов и определены в CES как Power-цепи. При этом, как я понимаю, задать Pin Pair для этих цепей возможности нет. Ок, думаю я, делаем их обычными цепями, задаем Custom тип топологии, автоматически создаем пары пинов в CES и формулу для обеспечения равенства длин указанных пар пинов. Но почему-то после Data=>Actuals=>Update All никаких Formula Violation не выдается, в редакторе PCB в Hazards тоже нет предупреждений о нарушении требований выравнивания длин. Я пробовал повторить этот эксперимент с другими цепями проекта, без полигонов, и для них правила выравнивания длин между парами пинов, заданные через формулу, применяются и при нарушениях выдаются Hazards и отображаются Violations в CES. Поэтому основная гипотеза в том, что обнаруживая полигоны Expedition считает эту цепь "не совсем сигнальной" и перестает контролировать длины. Может быть я что-то делаю не так и есть способ контролировать подобные цепи? Или всё только вручную?
×
×
  • Создать...