Перейти к содержанию
    

как сделать открытый коллектор в Цыклоне?

не могу понять...... Добавил в схему двунаправленный порт. в асигментах указал

 

Location W19

Weak Pull-Up Resistor on

Auto-Open Duran Pin on

 

W19 выходит из плис и "висит" в воздухе, больше ни кого на этом порту нет. Все подтяжки к Vcc делаю внутри ПЛИС. В схеме в квартусе на пин W19 подал импульсы. Всё время "0" и иногда "1". 3-го состояния вообще нет. Собрал, залил в плис. Смотрю оссцилогафом - на W19 1,7 В. (питание 3.3), т.е. кагбэ тристэйт. Смотрю сигналтабом на w19 - всё в норме, всегда 0 и иногда, в соответствии с моим алгоритмом 1. Почему на ноге W19 тристэйт? Как делают на плис открытый коллектор? что я делаю не так?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если у вас на выходе только 0 и Z, то при включенном правиле (наз-ся как-то типа "Auto Open Drain", где-то в настройках) получится выход с Открытым Стоком.

В отчете компилятора Квартуса можно убедиться, что на нужных выходах получился Open Drain.

Изменено пользователем IanPo

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

always @( * )
   if(out_preliminary)
      out<=1'bz;
   else
      out<=0;

Verilog позволяет писать сильно короче:

 

assign my_pin = out ? 'bZ : 0;

 

 

стоит пользоватся всеми прелестями сокращённого синтаксиса.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...