Перейти к содержанию
    

Стабильные версии Modelsim/QuartaSim

Коллеги, у меня вопрос: с какими версиями modelsim/questa

стоит работать, а с какими - нет.

 

Я отлаживаю проектик на Cyclone-III, содержащий как Verilog, так и VHDL код (имплементирую в 9м квартусе).

Первоначально использовал для симуляци Questa 6.5. Но обнаружил, что эта версия глючит,

в том числе неправильно отображает сигналы, при пересечении границ модулей. Кроме того, просто иногда вылетает.

Попробовал перейти на 10ку - там вообще код, который компилируется и имплементируется невозможно запустить.

В результате откатился на версию 6.4c . Пока глюков не замечал.

 

Возможно, кто-нибудь сталкивался с подобной ситуацией?

Интересно было бы так же в порядке обмена опытом поделиться найденными глюками для конкретных версий симуляторов,

если кто-нибудь на них натыкался.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Наверное, понятие стабильной версии в проприетарных продуктах отсутствует. Согласно рекламным слоганам, они становятся всё лучше и лучше. Устраняются старые баги и добавляются новые (об этом пользователю не сообщается). Как и у Вас, у меня десятка отказывается работать со старыми проектами - валится GUI. А в консоли почему-то работает. Откатился обратно на 6.6c.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Наверное, понятие стабильной версии в проприетарных продуктах отсутствует. Согласно рекламным слоганам, они становятся всё лучше и лучше.

А в жизни, к сожалению, все иначе... Меня интересует именно реальное состояние дел.

А с 10й у меня такая ситуация: оболочка работает, но синтаксический анализ не соответствует результатам предыдущих версий. Например, в верилоге

считает слова global и port зарезервированными, а так же отказывается запускать модули без директивы `timescale. Чтобы это исправить, попытался поиграть настройками, не получилось.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То, что синтаксический анализ не соответствует, вполне может быть связано с устранением несоответствия стандарту в предыдущих версиях. У меня в десятке та же беда с `timescale. Вполне возможно, с `timescale не всё так однозначно. Надо усиленно покурить этот вопрос в стандарте, дабы понять, права ли квеста. А global в SV2009 является зарезервированным словом, port - нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То, что синтаксический анализ не соответствует, вполне может быть связано с устранением несоответствия стандарту в предыдущих версиях. У меня в десятке та же беда с `timescale. Вполне возможно, с `timescale не всё так однозначно. Надо усиленно покурить этот вопрос в стандарте, дабы понять, права ли квеста. А global в SV2009 является зарезервированным словом, port - нет.

Спасибо за информацию. Действительно, интересно, что они там с timescale накрутили. Если разберетесь, пожалуйста, напишите.

Но уже понятно, что либо все исходники перелопачивать, либо пользоваться предыдущими версиями.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но уже понятно, что либо все исходники перелопачивать, либо пользоваться предыдущими версиями.

При возникновении проблем при переходе на новую версию внимательно изучите ключики компиляции для vlog, т.к. вполне могут измениться умолчания, и проект перестанет запускаться. Для решения проблемы с timescale попробуйте одноимённый ключик, предназначенный для указания умолчального значения timescale/timeprecision для модулей, не содержащих этих директив. Проблему global-port можно попытаться победить ключиками -vlog01compat или -vlog95compat, если не используете SV. А исходники править всегда успеете :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Наверное, понятие стабильной версии в проприетарных продуктах отсутствует. Согласно рекламным слоганам, они становятся всё лучше и лучше. Устраняются старые баги и добавляются новые (об этом пользователю не сообщается). Как и у Вас, у меня десятка отказывается работать со старыми проектами - валится GUI. А в консоли почему-то работает. Откатился обратно на 6.6c.

 

очень правильное замечание, поддерживаю

 

те выбор версии - это просто зависимость от проекта, над которым хочется или приходится работать...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

и докучи, если складывается симулятор и не понятно почему. попробуйте разные опции

-novopt, -O1...O4, +acc<бла бла бла>

 

проблема может быть обнаружена %)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Провёл следственные действия на предмет падучего GUI в Questa_10.0b. В результате родился тестовый микропроект.

Файл my_tb.sv:

extern interface my_if;

module my_tb;
  my_if m_i();
  initial $finish;
endmodule

Файл my_if.sv:

interface my_if;
endinterface

Компиляция:

vlog -sv -work work ./my_tb.sv
vlog -sv -work work ./my_if.sv

проходит успешно.

При попытке запустить симуляцию с GUI:

vsim work.my_tb

получаем:

# Attempting stack trace sig 11
# Signal caught: signo [11]
# vsim_stacktrace.vstf written
# Current time Fri Feb 10 13:41:20 2012
# QuestaSim Stack Trace
# Program = vsim
# Id = "10.0b"
# Version = "2011.05"
# Date = "May  5 2011"
# Platform = linux
# 0    0x083e40e8: '<unknown (@0x83e40e8)>'
# 1    0x08575338: '<unknown (@0x8575338)>'
# 2    0x08441c62: '<unknown (@0x8441c62)>'
# 3    0x08445d0a: '<unknown (@0x8445d0a)>'
# 4    0x0890e259: '<unknown (@0x890e259)>'
# 5    0x0890f6ed: '<unknown (@0x890f6ed)>'
# 6    0x0890fb30: '<unknown (@0x890fb30)>'
# 7    0x0891051a: '<unknown (@0x891051a)>'
# 8    0x086e154b: '<unknown (@0x86e154b)>'
# 9    0x0894688b: '<unknown (@0x894688b)>'
# 10   0x089753be: '<unknown (@0x89753be)>'
# 11   0x08955cf0: '<unknown (@0x8955cf0)>'
# 12   0x08955fee: '<unknown (@0x8955fee)>'
# 13   0x0887b6b0: '<unknown (@0x887b6b0)>'
# 14   0x08424a1b: '<unknown (@0x8424a1b)>'
# 15   0x08363f69: '<unknown (@0x8363f69)>'
# 16   0x0805e8c6: '<unknown (@0x805e8c6)>'
# End of Stack Trace
** Fatal: (SIGSEGV) Bad pointer access. Closing vsimk.
** Fatal: vsimk is exiting with code 211.
(Exit codes are defined in the QuestaSim messages appendix
of the QuestaSim User's Manual.)

Те же действия в Questa_6.6c приводят к положительному результату. При запуске симуляции с ключом -с (без GUI) тоже всё в порядке.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...