Перейти к содержанию
    

Скорость, шаг, шумы … как совместить не совместимое.

остановился все таки на 702 так как внешний прескалер городить не нужно. у меня вопрос по 702. Там возможность свипирования есть, только по даташиту не могу понять с какой максимальной скоростью и минимальным шагом он это может делать?

 

The sweeper timebase Tref is the period of the divided reference, fPFD, at the phase detector Tref

это автоматом с частотой сравнения фазового детектора, к примеру каждые 20 наносекунд (если частота сравнения 50Мгц) . Не проверял, но верю :)

 

программно или внешним сигналом ( программно не получится быстрее через 640 наносекунд )

внешним сигналом - как угодно, по умолчанию (нигде не описано , имхо 20nS- 10 секунд )

2-Way Sweeps

If ramp_repeat_en (Reg14h<3> Table 30) is cleared, then the ramps are triggered by

a. Writing to ramp_trigg (Reg14h<2> Table 30), if bit <2> = 0, or

b. by rising edge TTL signal input on GPO3, if ramp_trig_ext_en is set, and GPO3 is enabled.

Triggering of each sweep, or step, may be configured to operate:

a. Via a serial port write to Reg14h<2> ramp_trigg (if Reg 14h<2> = 0 )

b. Automatically generated internally,

c. Triggered via TT L input on GPO3 Reg14h<5> = 1.

и смотрите "Figure 28. Single Step Ramp Mode"

 

минимальный шаг по частоте задается регистром 15, параметр ramp_step , который будет своим содержимым увеличивать/уменьшать фракциональный делитель на каждом шаге. Т.е при частоте сравнения 50Мгц мин шаг примерно 5.8 Герц.

 

Если не очень быстро надо свипировать , а , скажем , каждые 3 микросекунды, то есть еще один вариант - просто задвигать программно 2 или 3 регистра.

3 регистра надо дергать (в порядке очередности 0Fh,10h,07h ) ,если по ходу свипа хотите подправлять крутизну фазового детектора для компенсации нелинейности характеристики ГУНа. Во фракциональном режиме процесс перестройки частоты начинается сразу по окончании записи в 10h регистр - это важно.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вячеслав,

у меня был отрицательный опыт по построению синтезатора с опорой от DDS, в итоге я отказался от этой затеи, чего и ВАМ рекомендую.

Фишка в том, что синтезатор усиливает спьюры DDS на границе полосы петли на 15-25db плюс к рассчетным N^2 раз (N - коэффициент умножения).

В итоге имеем при N=10 усиление спур на 40db, и полный лес выходе.

Я уже задавал тут вопрос год назад, откуда берутся эти дополнительные 15-25db - никто не ответил.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если не очень быстро надо свипировать , а , скажем , каждые 3 микросекунды, то есть еще один вариант - просто задвигать программно 2 или 3 регистра.

3 регистра надо дергать (в порядке очередности 0Fh,10h,07h ) ,если по ходу свипа хотите подправлять крутизну фазового детектора для компенсации нелинейности характеристики ГУНа. Во фракциональном режиме процесс перестройки частоты начинается сразу по окончании записи в 10h регистр - это важно.

 

спасибо за подробности, в процессе работы с железом пригодится...

 

Вопрос у меня теперь в другом, я так понимаю что мне теперь нет смысла в качестве опорника использовать ДДС, так как hmc702 со своей функцией "СВИП" по скорости перестройки (3мкс) и шагу (250кгц) вполне удовлетворяет моему ТЗ?

Изменено пользователем Vecheslav

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вопрос у меня теперь в другом, я так понимаю что мне теперь нет смысла в качестве опорника использовать ДДС?

Но ведь здесь уже Вам несколько раз говорили о том, что DDS в качестве опорника для частот 8-12 ГГц в принципе нельзя использовать напрямую. Тем более для дробночисленного режима HMC702, где он избыточен.

Если внимательнее взгляните на Datasheet HMC700, то увидите фразу DDS Replacement , что означает замену DDS по разрешению частоты, но не по скорости или по сути. Для заданной Вами скорости свипа HMC702 должно хватить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но ведь здесь уже Вам несколько раз говорили о том, что DDS в качестве опорника для частот 8-12 ГГц в принципе нельзя использовать напрямую.

 

говорили, согласен ... однако я тоже говорил что у меня есть изделие в котором ДДС используется в качестве опорника и то что мы получили всех устроило, не каких огромных спуров я не видел. ДДС конечно перестраивалась в диапазоне 10-12МГц, при том что опора у ДДС была 10МГц. Сама ДДС 9954.

Вы не подумайте что я упертый, просто на данном этапе это для меня единственное понятное решение, с которым у нас есть положительный опыт. Вы вот тут дискутируете все вместе говорите надо ставить квадратурный мадулятор, надо ставить квадратурный ддс, надо ставить прескалер 2/3 , а потом в конце концов говорите что так все равно работать не будет, что убавляем тут, появляется там, все построено на компромиссах. Я еще не увидел тут, типа вот Vecheslav тебе структурная схема, которая решит все твои проблемы, потому что она работает так то и так то и это единственное правильное решение в твоем случае, сделай так и будет тебе счастье, потому что мы делали уже так тысячу раз и у нас все получилось. А что вижу я, каждый предложил свою структурную схему как одно из решений проблемы, но выкладывая ее на обсуждение в ней тут же находили свои минусы. Причем структурная схема объясняется на словах, что еще более усугубляет мое понимание почему автор делает именно так. Причем выкладывая данную структурную схему я не слышал что мы делаем так и у нас все гуд. Я бы может взял одно из решений предложенное вами, ну например wjs нарисовал на тетрадном листочке свое видение решение проблемы, пусть в итоге все пришли к выводу что данная схема не работоспособна, но для меня она оказалась более информативной чем схемы описанные словами, причем изобилующая терминами пока мне не понятными, что куда зачем... еще мне предложил структурную схему khach и даже описал принцип работы схемы "Квадратурник позволяет легко построить широкополосный смеситель с подавлением одной боковой полосы. Навязывать архитектуру синтезатора с генератором подставки на ДДС небуду, но в силу некоторых причин мы пришли именно к ней, хотя начинали со свипа опоры ФД. Вот блок схема этого синтеза из Манасевича, только смеситель СМ у нас с подавлением одной боковой. Это важно именно для октавных синтезаторов- при более узкой полосе можно фильтрами отстроится от нежелательной боковой." Я воодушевился начал прорисовывать схему подробно спрашивать все ли правильно я делаю, в итоге ни кто так и не прокомментировал правильно ли я все сделал или нет. Схема до сих пор подвешена без каментов. была еще обсуждена структурная схема rloc, но в ней нашли опять какие то недачеты... все ... дальше ченакин ... новые методы ... это все хорошо, но ни у кого опыта работы тоже с этим нет, одна теория, а схему рисовать надо. Пусть я заведомо знаю что результат с ДДС будет отрицательным, зато я хоть примерно понимаю что там куда и зачем, может фильтрами спектр ДДС вылежу, может индия где подлеплю, может ферросиликончиком подзалью, глядишь чего и выйдет. Да пусть даже если ничего и не получится, это будет мой первый опыт, это тоже не мало важно. Эту теорию можно изучать хоть до посинения, но пока собственными руками не потрогаешь понимания не придет.

 

Тем более для дробночисленного режима HMC702, где он избыточен.

да понятно что дробник может с легкостью обеспечить нужный мне шаг в 250кГц, но мне же нужна и скорость 3 мкс. тут как в мультике крылья, крылья ... главное хвост!

 

Для заданной Вами скорости свипа HMC702 должно хватить.

 

видите опять не определенность, да по скорости он будет обеспечивать требования ТЗ, а я вот уберу ДДС, оставлю один hmc702, а когда начну уже с железом ковыряться выяснится что он может в режиме свипирования перекрывать диапазон не больше 500МГц, а мне надо 2ГГц (8-10 и 10-12), а нигде в явном виде это прописано не будет, может такое быть? я думаю легко. Вот у TAY есть опыт работы с данным типом синтезатора, поэтому я и спрашиваю все подробно, что бы потом сюрпризов не было. Сам я даташит честно пытаюсь читать, но языковой барьер и отсутствие опыта сводят все мои старания на нет. У меня теперь словарь лингво лидер по пользованию программами.

 

Вопрос. Даже если я уберу ДДС, то спуры синтезатора дробника все равно никто не отменял или тут уже попроще с ними бороться?

Изменено пользователем Vecheslav

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот у TAY есть опыт работы с данным типом синтезатора, поэтому я и спрашиваю все подробно, что бы потом сюрпризов не было.

Хорошо, не воспринимайте моё мнение слишком близко к истине, оно - сугубо субъективное, передаю слово тау!

Я как раз хотел помочь Вам не спотыкаться на том, на чём многие другие, к моему сожалению, успели столкнуться...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вопрос у меня теперь в другом, я так понимаю что мне теперь нет смысла в качестве опорника использовать ДДС, так как hmc702 со своей функцией "СВИП" по скорости перестройки (3мкс) и шагу (250кгц) вполне удовлетворяет моему ТЗ?

извиняюсь за задержку с ответом ..

посмотрите картинку - это лесенка свипа на входе VCO hmc588 с шагом 20 МГц при полосе PLL примерно 200КГц на HMC702 .

Расширив полосу можно сделать более быстрый переходной процесс. Шаг не проблема. Cпуры на некоторых частотах ( а обычно это на краях диапазона фракционального делителя) можно наверное побороть переключаемым железной логикой делителем (на 3 либо 4) от опоры 200Мhz (50 или 66 частоту сравнения менять одномоментно с записью в 10h регистр). При этом встроенные свип возможности как-бы и не нужны, на каждом шаге переписываете оба делителя (целочисленный и фракциональный) с коррекцией тока CP. По времени это достаточно чтобы укладываться в 3 мкс. Жаль, что нельзя вместо переключаемого делителя опоры /3/4 использовать внутренний из HMC702 - по скорости переключения не успеет тогда.

Получится или нет - не знаю, будет от Вас зависеть. Просто я рекомендую попробовать сделать и такой, вроде несложный вариант синтезатора. Он , имхо, потенциально может по факту устроить ваших ТЗ писателей.

post-42757-1318602349_thumb.png

Изменено пользователем тау

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сегодня нарисовал схему Э3. Выкладываю вам на предмет выявления ошибок

 

post-67142-1318851327_thumb.jpg

 

в схему я предварительно поставил опорник на 100 МГц, и куда все таки этот сигнал заводить на XSIN или XREFP, рисовал с Eval. PCB Schematic

 

и если вам не трудно не могли бы вы вкратце описать выводы управления, я только разобрался с тактом, данными, разрешение загрузки.

 

ну а теперь традиционно рубрика вопросы ))):

 

обычно это на краях диапазона фракционального делителя

 

что конкретно вы имели ввиду? в какой момент должно быть 3 а в какой 4, и что мы при этом выигрываем, за счет чего уменьшаются спуры?

 

При этом встроенные свип возможности как-бы и не нужны, на каждом шаге переписываете оба делителя (целочисленный и фракциональный) с коррекцией тока CP. По времени это достаточно чтобы укладываться в 3 мкс.

переписываются через SPI? если да то как добиться времени свипирования в 3 мкс? там же на перезапись время тратится намного больше? И как вообще в этом синтезаторе организован свип, я предпологал что там также как и в ДДС зашивается начало диапазона конец, даем команду на свип и далее синтезатор по какому то своему внутреннему алгоритму (не тратя время на перезапись) начинает свипировать?

 

и для чего нужна коррекция тока СР? то что характеристика гуна начинает заваливаться к верху диапазона это понятно, но как этот завал влияет на качество выходного спектра, зачем корректировать ток, разве синтезатору охваченному петлей не все равно насколько подкидывать напряжения? в чем выигрыш?

 

Жаль, что нельзя вместо переключаемого делителя опоры /3/4 использовать внутренний из HMC702 - по скорости переключения не успеет тогда.

 

ну тут понятно постоянно менять R не успее конечно, но как мы при этом будем успевать корректировать СР, Nint, Nfrac.

 

 

Получится или нет - не знаю, будет от Вас зависеть. Просто я рекомендую попробовать сделать и такой, вроде несложный вариант синтезатора. Он , имхо, потенциально может по факту устроить ваших ТЗ писателей.

 

а в чем конкретно вы сомневаетесь, в чем не уверены?

Изменено пользователем Vecheslav

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

и если вам не трудно не могли бы вы вкратце описать выводы управления, я только разобрался с тактом, данными, разрешение загрузки.

Извините, ещё раз вклинюсь в разговор. Для управления HMC700-HMC704 обычно достаточно сигналов SEN, SDI, SCK и LD_SDO, без всего остального, в принципе, можно обойтись - это лишь дополнительные расширения. Если управлять будете с ПК или внешней платы, то для пущей верности влепите гальваническую развязку, например, через какой-нибудь ADuM или его аналог, у которого 3 канала работают на выход, а один на вход, ну и скорость до 100 Мбит/c. Это нужно для того, чтобы по дороге не наловить "мусора" в спектр и при этом обеспечить максимально быстрое программирование.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Vecheslav, не совсем понятно как подав сигнал на инвертирующий вход ОУ с однополярным питанием

Вы получите на выходе 0...+23В. Не показано от чего запитываете схему (LDO).

Изменено пользователем ivanoffer

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сегодня нарисовал схему Э3. Выкладываю вам на предмет выявления ошибок

 

Опорник можно подключать только к XSIN входу , если его выход синусоидальный. По хорошему опору вам надо 200 через внешний прескалер 3\4 с переключением от плисины . Можете и 100 попробовать, тогда через \3\2 прескалер получите частоты сравнения 33.3 либо 50 Мгц , для борьбы со спурами на мелких отстойках.

 

AD8067 непременно загудит. Также он со своими малыми токами в схеме фракционального синтезатора совершенно не нужен. тут любые токи пойдут, фракциональный режим без постоянного смещения по выходу CP не работает , фича. Спуры на частоте сравнения при таком фильтре как у Вас, будут (навскидку) -40 dbc .

 

 

выводы управления, я только разобрался с тактом, данными, разрешение загрузки.
GPO3 вам может понадобиться если захотите поэксперементировать с встроенными функциями свипа.

выходы 30-32 вам вряд ли понадобятся

 

что конкретно вы имели ввиду? в какой момент должно быть 3 а в какой 4, и что мы при этом выигрываем, за счет чего уменьшаются спуры?
спуры на ближних расстройках увеличиваются при значениях фракциональной части такой, что она по смещению от частоты определяемой Nint, дает смещение по частоте близкое к удвоенной полосе пропускания PLL или меньше . Вот когда по вычисленному значению для одной частоты сравнения получаете Nfrac очень малое , переключаетесь на другую частоту сравнения изменением делителя пред REF и пересчитываете для нее новые Nint и Nfrac. В боеввой прошивке это все должно быть рассчитано и известно заранее, например по таблице в простейшем случае.

 

переписываются через SPI? если да то как добиться времени свипирования в 3 мкс? там же на перезапись время тратится намного больше?
1 регистр пишется 640 наносекунд (32 бита на частоте 50 МГЦ в шине SPI.

 

И как вообще в этом синтезаторе организован свип, я предпологал что там также как и в ДДС зашивается начало диапазона конец, даем команду на свип и далее синтезатор по какому то своему внутреннему алгоритму (не тратя время на перезапись) начинает свипировать?
Начальная частота задаестя в регистрах Nint и Nfrac. Еще необходимо заполнить регистр шага и количество шагов. Извините , но в даташите это все описано достоточно подробно, я раньше давал короткие выжимки на эту тему. Вам всё равно придется даташит изучить от корки до корки.

 

и для чего нужна коррекция тока СР? то что характеристика гуна начинает заваливаться к верху диапазона это понятно, но как этот завал влияет на качество выходного спектра, зачем корректировать ток, разве синтезатору охваченному петлей не все равно насколько подкидывать напряжения? в чем выигрыш?
когда крутизна VCO меняется более чем в 2 раза ( а в октавниках она меняется очень-очень сильно) может меняться запас по фазе в петле PLL, влиять на вид и длительность переходного процесса или вообще приводить к срыву захвата .

ну тут понятно постоянно менять R не успее конечно, но как мы при этом будем успевать корректировать СР, Nint, Nfrac.

коррекцию CP делаете после смены 10H регистра , так как после него уже начинает меняться частота и идет переходной процесс, который Вы можете иметь до 2 мкс , вот в самом начале этого переходного процесса и меняете CP , это самое безобидное для его смены время. за 1.3 мкс до начала следующего шага по частоте , начинаете менять регистры 0F и 10h , пока не закончили запись 10h - частота не меняется. Тут можете поэкспериментировать с встроенным свипом , вместо записи этих регистров. Теоретически запись в регистры не улучшит джиттер на том интервале 1 мкс, где у вас частота должна быть стабильной . Поэтому может оказаться кстати аппаратная свип возможность.

 

а в чем конкретно вы сомневаетесь, в чем не уверены?
что справитесь и не расплюётесь , т.к. регистров шибко много, работа трудоемкая по оптимизации шума и вылавливанию спур , а вам еще переходную характеристику оптимизировать под оптимум быстродействия и минимум шума\спур.
Изменено пользователем тау

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

-RSTB можно просто подтянуть к питанию3.3 через резистор, не выводя на разъем. Аппаратный сброс имхо - лишнее.

- конденсатор 100 пик на 37 ногу желательно выбрать "другой" емкости и такого типа чтобы от него не было нечаянных фокусов в вашем диапазоне частот.

- питание ОУ 25 вольт избыточно, хватит и 18-19 для 12G

- подстройка опоры зачем вам надо ? требования по точности частоты - никакие , 500ppm, без подстройки достаточно. Вход Vс опоры зашунтируйте хорошей емкостью или просто на землю, если допускается.

Изменено пользователем тау

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну наконец то добрался до компьютера...

 

Опорник можно подключать только к XSIN входу , если его выход синусоидальный. По хорошему опору вам надо 200 через внешний прескалер 3\4 с переключением от плисины . Можете и 100 попробовать, тогда через \3\2 прескалер получите частоты сравнения 33.3 либо 50 Мгц , для борьбы со спурами на мелких отстойках.

 

исправил, поставил пока в виде условного обозначения 3/4 (конкретную микросхему еще не искал), опора 200 МГц (тоже пока виде условного обозначения).

post-67142-1319112890_thumb.jpg

 

1)Остались выводы LD_SDO, это случайно не аппаратный сброс про который вы говорили? а может это muxout

2)GPO1,2 - убираем?

3)а где тут выход контроль захвата, ну как у АДФ muxout

4)c неиспользуемыми выводами что делать, может через резистр 10кОм и на землю

 

AD8067 непременно загудит. Также он со своими малыми токами в схеме фракционального синтезатора совершенно не нужен. тут любые токи пойдут, фракциональный режим без постоянного смещения по выходу CP не работает , фича. Спуры на частоте сравнения при таком фильтре как у Вас, будут (навскидку) -40 dbc .

 

какой посоветуете ОУ, я так понял конструкция фильтра тоже не подходит, какую поставили бы вы?

 

GPO3 вам может понадобиться если захотите поэксперементировать с встроенными функциями свипа.

 

я так понимаю на данную ногу нужно подавать сигнал с триггера (именно так его называют в даташите), а по сути на данную ногу нужно зависти сигнал с контроллера и тогда в режиме Single Step Ramp Mode при каждом высоком уровне синтезатор будет делать приращение шага дельтаF

post-67142-1319112663_thumb.png

 

выходы 30-32 вам вряд ли понадобятся

 

кроме как то что они некий vSPI, не разобрал, какую информацию они выдают? видно что они как то завязаны с модулятором

post-67142-1319113549_thumb.png

 

 

спуры на ближних расстройках увеличиваются при значениях фракциональной части такой, что она по смещению от частоты определяемой Nint, дает смещение по частоте близкое к удвоенной полосе пропускания PLL или меньше . Вот когда по вычисленному значению для одной частоты сравнения получаете Nfrac очень малое , переключаетесь на другую частоту сравнения изменением делителя пред REF и пересчитываете для нее новые Nint и Nfrac. В боеввой прошивке это все должно быть рассчитано и известно заранее, например по таблице в простейшем случае.

 

все сделал, единственное Nfrac не такой уж и маленький пользовался формулой из даташита

post-67142-1319113759_thumb.png

 

1 регистр пишется 640 наносекунд (32 бита на частоте 50 МГЦ в шине SPI.

 

ну это наверное отсюда

post-67142-1319113988_thumb.png

 

Начальная частота задается в регистрах Nint и Nfrac. Еще необходимо заполнить регистр шага и количество шагов. Извините , но в даташите это все описано достоточно подробно, я раньше давал короткие выжимки на эту тему. Вам всё равно придется даташит изучить от корки до корки.

 

переводом уже занимаюсь в плотную, это теперь моя самая любимая книжка ))) ...

 

когда крутизна VCO меняется более чем в 2 раза ( а в октавниках она меняется очень-очень сильно) может меняться запас по фазе в петле PLL, влиять на вид и длительность переходного процесса или вообще приводить к срыву захвата . коррекцию CP делаете после смены 10H регистра , так как после него уже начинает меняться частота и идет переходной процесс, который Вы можете иметь до 2 мкс , вот в самом начале этого переходного процесса и меняете CP , это самое безобидное для его смены время. за 1.3 мкс до начала следующего шага по частоте , начинаете менять регистры 0F и 10h , пока не закончили запись 10h - частота не меняется. Тут можете поэкспериментировать с встроенным свипом , вместо записи этих регистров. Теоретически запись в регистры не улучшит джиттер на том интервале 1 мкс, где у вас частота должна быть стабильной . Поэтому может оказаться кстати аппаратная свип возможность.

 

понял, единственное уточнение, под коррекцией СР вы понимаете увеличить либо уменьшить тока помпы и калибровка по диапазону уже будет проходить в процессе настройки, я все правильно понимаю? ..." Поэтому может оказаться кстати аппаратная свип возможность" ... это вы как раз про режим Single Step Ramp Mode?

 

что справитесь и не расплюётесь , т.к. регистров шибко много, работа трудоемкая по оптимизации шума и вылавливанию спур , а вам еще переходную характеристику оптимизировать под оптимум быстродействия и минимум шума\спур.

 

будьте уверены я дело доведу до конца, это не хобби, а моя работа, и она мне начинает нравиться, главное бы схему правильно нарисовать )))

 

 

-RSTB можно просто подтянуть к питанию3.3 через резистор, не выводя на разъем.

сделал, подключил к 3,3 цифровому (может к аналогу нужно было?), а через какой резистор нужно включить, какой ток нам нужен? для чего RSTB вообще нужен?

 

Аппаратный сброс имхо - лишнее.

это какая нога?

 

- конденсатор 100 пик на 37 ногу желательно выбрать "другой" емкости и такого типа чтобы от него не было нечаянных фокусов в вашем диапазоне частот.

я срисовывал с Eval борда, там сто пик, открыл нашу старую схему с АДФ4106 там тоже 100 пик (тип конденсатора написал на схеме), а в чем конкретно ошибка, посмотрел в микровейве вроде все норм, я так понимаю это просто развязка по постоянке, если другой тогда какой?

post-67142-1319115553_thumb.png

 

 

- питание ОУ 25 вольт избыточно, хватит и 18-19 для 12G

по даташиту действительно Uупр для 12ГГц - 15В, но раз написано можно подавать до 23В, решил по полной использовать ГУН, так сказать поэкспериментировать на будущее.

 

- подстройка опоры зачем вам надо ? требования по точности частоты - никакие , 500ppm, без подстройки достаточно. Вход Vс опоры зашунтируйте хорошей емкостью или просто на землю, если допускается.

не нужно, просто с даташита срисовал, с выбором опорника вообще беда, уже две неделю переписываюсь с икстайлом просят за термостатированный опорник на 100МГц 9000 т.р., чет дороговато, да и огромынй он, надо проще что то найти, может у вас есть проверенные и не дорогие опорники?

Изменено пользователем Vecheslav

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для управления HMC700-HMC704 обычно достаточно сигналов SEN, SDI, SCK и LD_SDO, без всего остального, в принципе, можно обойтись - это лишь дополнительные расширения. Если управлять будете с ПК или внешней платы, то для пущей верности влепите гальваническую развязку, например, через какой-нибудь ADuM или его аналог, у которого 3 канала работают на выход, а один на вход, ну и скорость до 100 Мбит/c. Это нужно для того, чтобы по дороге не наловить "мусора" в спектр и при этом обеспечить максимально быстрое программирование.

 

мельком глянул ADuM1300/ADuM1301, а у вас случайно схемки включения нигде не завалялось? ))) 7х10 большеват, а меньше бывают?

 

 

Вячеслав,

у меня был отрицательный опыт по построению синтезатора с опорой от DDS, в итоге я отказался от этой затеи, чего и ВАМ рекомендую.

Фишка в том, что синтезатор усиливает спьюры DDS на границе полосы петли на 15-25db плюс к рассчетным N^2 раз (N - коэффициент умножения).

В итоге имеем при N=10 усиление спур на 40db, и полный лес выходе.

Я уже задавал тут вопрос год назад, откуда берутся эти дополнительные 15-25db - никто не ответил.

 

тоже хочу отказаться от ДДС но с одной стороны боюсь вдруг 702 не начнет свипировать с нужной скоростью, а с другой хочу убедится на личном опыте что такое спуры ДДС, может не так страшен черт как его малюют :rolleyes: , разведу плату так чтобы если что ДДСку отключить а подключить обычный кварц на 200 мгц.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

исправил, поставил пока в виде условного обозначения 3/4 (конкретную микросхему еще не искал), опора 200 МГц (тоже пока виде условного обозначения).

упрвление делителем от ПЛИСа не забудьте

 

1)Остались выводы LD_SDO, это случайно не аппаратный сброс про который вы говорили? а может это muxout

этот пин нужен или для чтения регистров (шина на чтение) или на нем появляется сигнал Lock Detect

2)GPO1,2 - убираем?
можно оставить в виде тестпоинтов , иногда интересно посмотреть на отладке

3)а где тут выход контроль захвата, ну как у АДФ muxout
LD_SDO

4)c неиспользуемыми выводами что делать, может через резистр 10кОм и на землю
в воздухе

 

какой посоветуете ОУ, я так понял конструкция фильтра тоже не подходит, какую поставили бы вы?
OPA211

я так понимаю на данную ногу нужно подавать сигнал с триггера (именно так его называют в даташите), а по сути на данную ногу нужно зависти сигнал с контроллера и тогда в режиме Single Step Ramp Mode при каждом высоком уровне синтезатор будет делать приращение шага дельтаF
да

кроме как то что они некий vSPI, не разобрал, какую информацию они выдают? видно что они как то завязаны с модулятором
это интерфейс к VCO , но у вас такого не будет VCO

 

 

все сделал, единственное Nfrac не такой уж и маленький пользовался формулой из даташита

это в цифрах не маленький , а в частоте сдвига по фракциональному слагаемому первые 10 строк той таблицы - не подходят . Т.е. все что что меньше миллиона или ближе чем на миллион приближается к 223 - переделывайте на другую опорную частоту. Для начала (запуска макета) с этим можете повременить, потом , когда со спурами начнете бороться - вспомните.

ну это наверное отсюда
нет.

понял, единственное уточнение, под коррекцией СР вы понимаете увеличить либо уменьшить тока помпы и калибровка по диапазону уже будет проходить в процессе настройки, я все правильно понимаю?
да , только это не калибровка а быстрая коррекция

 

..." Поэтому может оказаться кстати аппаратная свип возможность" ... это вы как раз про режим Single Step Ramp Mode?
да, не исключаю что он окажется лучше по паразитной девиации на том 1 мкс интервале.

 

это какая нога?
не помню

я срисовывал с Eval борда, там сто пик, открыл нашу старую схему с АДФ4106 там тоже 100 пик (тип конденсатора написал на схеме), а в чем конкретно ошибка, посмотрел в микровейве вроде все норм, я так понимаю это просто развязка по постоянке, если другой тогда какой?
мало ли что в EVALe нарисовано . У к10-17в какой диапазон частот работы ? Нада не микровэйв смотреть а реальные S параметры хотя бы по данным производителя , у некоторых графики есть. Самые параноики каждую партию выборочно проверяют для таких мест включения. Переговорите со своими коллегами - что они ставят по сигнальным цепям в этом диапазоне. То и закладывайте - как компромисс. Но 100 пик с большой вероятностью могут "заглючить" . У меня были глюки с 22 pF (размер 0402) . пришлось ставить меньше. Картинка во вложении говорит что даже ATC конденсаторы могут вносить "неожиданные" затухания, уж не говоря о иных производителях.

post-42757-1319190839_thumb.png

Изменено пользователем тау

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...