Перейти к содержанию
    

где найти library virtex2 для Active-HDL 8.3?

Доброго времени суток,

У меня возник такой вопрос, где находится эта самая библиотека VIRTEX2 скачал XilinxVHDLLibrariesISE10.1SP3forActive-HDL8.3 ,

XilinxVHDLLibrariesISE11.5SP3forActive-HDL8.3, но ни там - ни там их вроде как нет.

буду признателен за помощь

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Ну, так Вы не совсем то скачали... надо было Schematic Libraries качать.

2. Если ничего не путаю, то ISE 11.x уже не поддерживает Virtex-2 (да и давно пора о нём забыть).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Благодарю, сейчас попробую

 

тут такое дело, что забыть то пока не получится, так что надо крутиться

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно взять либу из версии 8.1...

Если уж совсем будет туго, могу и выложить куда-нибудь.

 

P.S.: 2des00: ссылкой не поделитесь, где можно почитать о "ручками скомпилировать из сорцов и не париться"? Спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ссылкой не поделитесь, где можно почитать о "ручками скомпилировать из сорцов и не париться"? Спасибо!

что там читать то ? если есть альдек с этими "либами от aldec.com", посмотрите как они выглядят : обычный альдековский проект, в котором лежат файлы с поведенческим/временным описанием примитивов ПЛИС из обычной поставки ISE + скрипт сборки этого проекта (что то вроде alog src/*.v или acom src/*vhd). Всё.

 

Итого : берем нужный ИСЕ -> копируем поверх старых нужные файлы (например unisim_pkg.vhd) -> выбираем в альдеке проект -> делаем до скрипта. Вуаля, о чудо, у вас скомпилированные либы нужной версии ;) И не надо искать их по всем тырнету, качать с сайта лишние метры либ и т.д. и т.п.

 

Можно еще проще, берем текущий проект, добавляем к нему нужные библиотечные файлы компилируем в work и о чудо, все работает %)

 

 

ЗЫ. в моделсиме порядок действий аналогичен до безобразия.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И все-таки, можно поподробнее, хочу вот я, например, в к алдеку 8.3 присоединить библиотеку virtex2 из алдека 7.3. что конкретно я должен сделать? нахожу в седьмом папку /vlib/virtex2 копирую ее в восьмой на место соответствующей, и что я должен компилировать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

что я должен компилировать?

эти файлы вы и должны скомпилировать в альдеке в либу с нужным вам названием

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

сдедал все так, он начал компилировать, но выдал тучу почти одинаковых сообщений такого типа:

 

Error: VLM_0030: Virtex2.vhd : (0, 0): Package "virtex2.vpkg" must be recompiled because package "ieee.std_logic_1164" has changed.

# Error: COMP96_0055: Virtex2.vhd : (6922, 12): Cannot find referenced context element.

# Compile Architecture "FDR_1_V" of Entity "FDR_1"

# Error: COMP96_0056: Virtex2.vhd : (6938, 1): Cannot find referenced entity declaration "FDR_1".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

сдедал все так, он начал компилировать, но выдал тучу почти одинаковых сообщений такого типа:

 

Error: VLM_0030: Virtex2.vhd : (0, 0): Package "virtex2.vpkg" must be recompiled because package "ieee.std_logic_1164" has changed.

# Error: COMP96_0055: Virtex2.vhd : (6922, 12): Cannot find referenced context element.

# Compile Architecture "FDR_1_V" of Entity "FDR_1"

# Error: COMP96_0056: Virtex2.vhd : (6938, 1): Cannot find referenced entity declaration "FDR_1".

это же vhdl, там надо очередность компиляции файлов выполнить, она в скрипте обычно прописана. в вашем случае нужно перекомпилировать пакет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

то есть на библиотеку есть скрипт сборки, и где его найти?

кхм, неужели в поставке альдековских либ пропали do файлы ? %)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да, действительно в папке vlib/virtex2/ нет .do файла, он есть например, в ieee либе, а там почему-то нет(

а, все, понял, но даже со скриптом куча ошибок(((

Изменено пользователем Гусь

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да, действительно в папке vlib/virtex2/ нет .do файла, он есть например, в ieee либе, а там почему-то нет(

вот его ручками, по образцу и подобию сделайте %)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...