Перейти к содержанию
    

Знатоки alter'ы подскажите по lpm_shiftreg для заxilinxевевшего

Подскажите, пожалуйста что делает код:

 

lpm_shiftreg sr(

.clock(clk),

.sclr(prn_key_enable),

.enable(hc_enable),

.shiftin(ca_code),

.q(srq)

);

defparam sr.lpm_width= 3;

 

Из названия понятно что это сдвиговый регистр.

clk - вход тактовой частоты;

sclr - что-то должно очищаться. Вопрос что? (Состояние сдвигового регистра? В документации на мегафункцию сказано что-то про влияние на выход...)

enable - clock enable;

shiftin - вход схемы? Поступают входные однобитовые отсчеты? Верно или нет?

q - выход. Что тут есть выход с учетом заданного параметра "sr.lpm_width= 3"??? Неясно...

 

Идеально, если кто-то может промоделировать работу этого кода и выложить временные диаграммы. Заранее спасибо.

 

ЗЫ Читал документацию http://www.altera.com/literature/ug/lpm_shiftreg.pdf , но за отсутствием опыта в ПЛИСах разобраться тяжело...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

clock - Тактовый сигнал.

sclr - Синхронный сброс по клоку.

enable - разрешение для срабатывания по клоку.

shiftin - Однобитовый вход для последовательной загрузки данных.

q - параллельный выход разрядностью 3. В него загружаются данные с лева на право или с права на лево.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый день.

lpm_width - разрядность регистра.

q[] Data output from the shift register. Output port LPM_WIDTH wide.

табл. 3-2 прикрепленного Вами файла.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Идеально, если кто-то может промоделировать работу этого кода и выложить временные диаграммы. Заранее спасибо.

странный вопрос, если учесть что можно взять мегавизард, посмотреть какие параметры есть у этой корки и там же, при генерации, посмотреть вейвформы %)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите, пожалуйста что делает код...

Если вы незнаете как работает сдвиговый регистр, то причём здесь Altera и Xilinx ("заxilinxевевшего"), тут

надо В.Л.Шило читать :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

nmurzin - спасибо за временные диаграммы и текстовое описание;

Andrew Su - спасибо за описание;

 

des00 - можно-то оно можно, только с альтерой никогда не работал и устанавливать нужный софт и изучать его нет большого желания;

 

VladimirB - Спасибо за море ценной информации. Я не спрашивал как работает сдвиговый регистр, я спрашивал как работает конкретная реализация, выполненная с помощью мегафункции alter'а. Или в указанном Вами источнике рассматривается заданный вопрос???

 

Тема закрыта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Гость
Эта тема закрыта для публикации ответов.
×
×
  • Создать...