Перейти к содержанию
    

В Q11 SP1 при генерации контроллеров памяти QDR/DDR для Stratix 4/5 происходит ошибка и не генерируется example design.

Это я у квартуса не все приступы жадности вылечил или проблема общая?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

SP1 не помог. по ходу, эти граждане S-II на этой версии уже не тестили :).

в 10 тоже не работает. Нужно ставить 9 квартус, может там будет работать

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В Q11 SP1 при генерации контроллеров памяти QDR/DDR для Stratix 4/5 происходит ошибка и не генерируется example design.

Это я у квартуса не все приступы жадности вылечил или проблема общая?

Я попробовал сделать контроллер QDRII на Stratix IV в QII v 11.0 SP1 - полет нормальный! (Design Example прилагаю).

Вопрос - под какой осью Вы работаете?

Иногда под 64-битной WinXP глючит мегавизард в 64-битном же квартусе. Пр этом мегавизард из 32-битного квартуса работает без проблем.

Но что касается моего примера - там корректно отработала именно 64-битная версия.

 

Ну то есть, для OC12 опорная частота должна быть 155.52 или кратные, а в списке частоты, кратные 50...

Кто-нибудь сталкивался? Помогает ли установка sp1.

Проверил в QII v11.0 SP1 под WinXP 64-bit. Частота 155,5 выбирается - см. результат на картинке.

Проверьте у себя еще раз. Возможно, какой-то глюк мегавизарда. Под какой ОС Вы работаете?

qdr_test_example_design.zip

post-843-1315223979_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 10 Quatrtus это выглядит так. Но частоты выбираются

Все должно получаться корректно - см. картинку.

Но есть одна хитрость, связанная, видимо, с глюком мегавизарда:

1. Сперва нужно выбрать протокол Basic

2. Врукопашную прописать в Data rate значение, соответствующее необходимому протоколу (например, 622 для OC12 или 2488,23 для OC48)

3. Сменить протокол на нужный.

В результате будут доступны для выбора корректные значения частот.

 

Проверьте это на десятой версии.

post-843-1315229620_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все должно получаться корректно - см. картинку.

Но есть одна хитрость, связанная, видимо, с глюком мегавизарда:

1. Сперва нужно выбрать протокол Basic

2. Врукопашную прописать в Data rate значение, соответствующее необходимому протоколу (например, 622 для OC12 или 2488,23 для OC48)

3. Сменить протокол на нужный.

В результате будут доступны для выбора корректные значения частот.

 

Проверьте это на десятой версии.

 

Нда, так в 11sp1 работает... :cranky:

Спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Дальше - больше.

 

Пытаюсь сделать блок с реконфигурацией из STM16 в Basic и обратно.

 

Как написано в мануале, создаю блок для STM16.

Во вкладке Reconfiguration Settings/Reconfig Выбираю Channel Internals, Use alternate reference clock и выбираю режим Basic с некоторой тактовой частотой.

Жму ОК, создается блок.

 

Открываю его обратно, захожу на ту же вкладку Reconfig и вижу, что вместо выбранного ранее Basic там - SDH, OC48 :wacko: .

 

Если делать переход не на Basic, а на GE, то такой траблы нет, GE "запоминается"...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Дальше - больше.

...

Открываю его обратно, захожу на ту же вкладку Reconfig и вижу, что вместо выбранного ранее Basic там - SDH, OC48 :wacko: .

Зашлите мне, или разместите здесь квартусовский архив с Вашим проектом. Надо разбираться.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Товарищи, помогите! Пытаюсь скомпилить в симулинке проект для DSP Builder, пишет:

Matlab Error

Unable to obtain a DSP Builder license. Please check that your LM_LICENSE_FILE environment variable is set to a valid license file or license server. LM_LICENSE_FILE is currently "c:\altera\10.1\quartus\bin64\license.dat".

 

Подскажите, как правильно подломать? Может кряк готовый есть или файл лицензии у кого? Или хотя бы фичу для DSP Buildera из license.dat...

Изменено пользователем RoadRunner

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Зашлите мне, или разместите здесь квартусовский архив с Вашим проектом. Надо разбираться.

Извиняюсь, пропустил Ваш ответ.

Тот глюк обойден переходом на Квартус 9 (в 10 аналогично 11).

Но в принципе для повторения опыта проект не требуется - собственно, его и не было, я создавал пустой проект и сразу шел в МегаВизард создавать компонент приемопередатчика согласно вышеописанному алгоритму.

 

Моё мнение по всем этим делам заключается в том, что новые Квартусы перестали тестить на Stratix IIGX (наверное, и на более ранних).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поставил 11 квартус.

 

пытаюсь в BSF вставить альтсинкрам. при выборе мегафункции он вместо запуска визарда сразу предлагает влепить блок с огромным количеством параметров.

это новая фича такая? как по людски подключать и настраивать меги?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

при выборе мегафункции он вместо запуска визарда сразу предлагает влепить блок с огромным количеством параметров.

С каким семейством работаете?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Stratix IV

Так Вы, поди, мегавизард и не запускаете.

Запустите мегавизард, выберите Memory Compiler - RAM 1-PORT, и далее его настраивайте. В самом конце на забудьте указать, что надо bsf-файл создать. А уже этот bsf вставляйте в свою графику.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...