Перейти к содержанию
    

Промоделировал проект. Сигнал, который меня интересует, задекларирован как integer. Хочу, чтобы в Waveform он отображался, как hex. Как это сделать? Выделяю сигнал, захожу в свойства, а Radix недоступен :( И галочка почему-то стоит в binary. В моделсим сделать это было просто. Помогите, плиз, третий день бьюсь. Скоро буду головой об стол :angry2:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Промоделировал проект. Сигнал, который меня интересует, задекларирован как integer. Хочу, чтобы в Waveform он отображался, как hex. Как это сделать? Выделяю сигнал, захожу в свойства, а Radix недоступен  :( И галочка почему-то стоит в binary. В моделсим сделать это было просто. Помогите, плиз, третий день бьюсь. Скоро буду головой об стол :angry2:

Странно. Вот только что попробовал, все нормально - и целые, и хексы, и бинарные, и октальные, и стринги, все доступно. Какая Ривьера?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2005.04 . В Waveform выделяю сигнал, жму правую кнопку мыши, нажимаю Properties. Вываливается окошко. Там написано Signal Name - Z, Hierarchy - пусто, Declaration - integer range 0 to 255, далее Values и недоступная закладка Radix :(

Что я делаю не так?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2005.04 . В Waveform выделяю сигнал, жму правую кнопку мыши, нажимаю Properties. Вываливается окошко. Там написано Signal Name - Z, Hierarchy - пусто, Declaration - integer range 0 to 255,  далее Values и недоступная закладка Radix  :( 

Что я делаю не так?

У меня эта же версия. Вот окошко. Все есть. Только range никакого нет - integer всегда 32 бита.

post-1343-1129091776_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Эту Ривьеру в основном студенты пишут, проходя практику на Алдеке :) Пользуйте Моделсим ИМХО.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2005.04 . В Waveform выделяю сигнал, жму правую кнопку мыши, нажимаю Properties. Вываливается окошко. Там написано Signal Name - Z, Hierarchy - пусто, Declaration - integer range 0 to 255,  далее Values и недоступная закладка Radix  :( 

Что я делаю не так?

У меня эта же версия. Вот окошко. Все есть. Только range никакого нет - integer всегда 32 бита.

Задекларировал сигнал не как integer range 0 to ..., а как integer. По барабану. То же самое. Блин, наверное я что-то не так делаю изначально. Или галка волшебная в настройках есть - я пока еще особо не рублю в верификации :(

Спасибо за желание помочь :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Эту Ривьеру в основном студенты пишут, проходя практику на Алдеке :)  Пользуйте Моделсим ИМХО.

Это откуда такие сведения? Ваше заявление на фоне заявлений самого Альдека про характеристики данного продукта и его позиционирование выглядят как минимум странно. От себя замечу, что моделирование в Ривьере происходит значительно быстрее, чем в самом Active-HDL'е. Его тоже студенты пишут?

 

Ривьера (в сравнении с Активом) выглядит всяко как более продвинутый продукт - она и быстрее, и организация базы данных с результатами моделирования у нее более продумана, и всякие SystemVerilog'и поддерживает (а Актив, почему-то, нет). Интерфейс у нее более расчитан на скрипты и макросы, т.е. на бОльшую автоматизацию (хотя в Активе тоже это есть, но там многое кликаньем мышкой можно делать, что привлекательно для начинающих). Т.е. меньше рюшечек, больше мощи.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Задекларировал сигнал не как integer range 0 to ..., а как integer. По барабану. То же самое. Блин, наверное я что-то не так делаю изначально. Или галка волшебная в настройках есть - я пока еще особо не рублю в верификации :(

Спасибо за желание помочь :)

У меня правда Verilog, а у Вас, видно, VHDL. Может там какие-то нюансы есть с заданием типов и целых, с этим не работал, подсказать ничего не могу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Эту Ривьеру в основном студенты пишут, проходя практику на Алдеке :)  Пользуйте Моделсим ИМХО.

Я начал с моделсима. Все бы ничего, да невозможно нормально просматривать сигналы в waveform. При нажатии стрелочек (найти следующее/предыдущее значение сигнала) моделсим "думает" в течение вплоть до десятка секунд (это на моем конкретном проекте - более-менее полной статистики его поведения у меня нет - предполагаю что по мере усложнения моих проектов время будет увеличиваться) И не дай бог нажать стрелочку, если следующего изменения сигнала нет - он просто зависает. Перешел на Aldec. Там в этом плане все пристойно. Сейчас мечусь между алдеком и моделсимом, склоняясь к алдеку.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Эту Ривьеру в основном студенты пишут, проходя практику на Алдеке :)  Пользуйте Моделсим ИМХО.

Я начал с моделсима. Все бы ничего, да невозможно нормально просматривать сигналы в waveform. При нажатии стрелочек (найти следующее/предыдущее значение сигнала) моделсим "думает" в течение вплоть до десятка секунд (это на моем конкретном проекте - более-менее полной статистики его поведения у меня нет - предполагаю что по мере усложнения моих проектов время будет увеличиваться) И не дай бог нажать стрелочку, если следующего изменения сигнала нет - он просто зависает. Перешел на Aldec. Там в этом плане все пристойно. Сейчас мечусь между алдеком и моделсимом, склоняясь к алдеку.

Кста, в Ривьере (опять же по сравнению с Активом) зум на здоровенных (длинных) диаграммах происходит вообще без торомозов. Что есть большой плюс.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это откуда такие сведения? Ваше заявление на фоне заявлений самого Альдека про характеристики данного продукта и его позиционирование выглядят как минимум странно. От себя замечу, что моделирование в Ривьере происходит значительно быстрее, чем в самом Active-HDL'е. Его тоже студенты пишут?

 

Знаю, потому как сам пару лет назад проходил там практику. Насчет ее быстродействия на данный момент ничего нескажу, т.к. пользуюсь Моделсимом.

Может что-то сейчас и лучше, но на тот момент был отстой.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это откуда такие сведения? Ваше заявление на фоне заявлений самого Альдека про характеристики данного продукта и его позиционирование выглядят как минимум странно. От себя замечу, что моделирование в Ривьере происходит значительно быстрее, чем в самом Active-HDL'е. Его тоже студенты пишут?

 

Знаю, потому как сам пару лет назад проходил там практику.

Хе, а у нас студенты тоже участвуют в разработке вполне серьезных девайсов. И что, про это тоже можно сказать, что, дескать, у них там девайсы студенты разрабатывают?

 

Все когда-то чему-то учатся. И лучше всего это делать не на гипотетических проектах, а на реальных. И конечный результат, как всегда, определяется качеством процесса управления. Какую-то работу могут выполнять и студенты, главное, чтобы за этим процессом был контроль (отслеживание и коррекция) со стороны более старших, опытных и ответственных товарисчей. Сам факт участия в работе кого бы то ни было ни о чем не говорит.

 

Насчет ее быстродействия на данный момент ничего нескажу, т.к. пользуюсь Моделсимом.

Может что-то сейчас и лучше, но на тот момент был отстой.

Дык самое время попробывать. Тем более, что времени это много не занимает, а результат может оказаться стОящим того.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Получилось! Допер! Я сигналы для просмотра брал из тестбенчи, а надо было из верхнего модуля описания. Заодно и внутренние сигналы стали доступны :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...