Перейти к содержанию
    

Просмотр результатов синтеза

Добрый день,

 

Скажете а что нибудь кроме Altera MAX+PLUS может в репорте выдавать logic equations вида y = (~a & B) | c

 

Заранее спасибо.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скажете а что нибудь кроме Altera MAX+PLUS может в репорте выдавать logic equations вида y = (~a & B) | c

Ну ISE выдавало подобные штуки в отчёте fitter'а... а дальше-то что ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скажете а что нибудь кроме Altera MAX+PLUS может в репорте выдавать logic equations вида y = (~a & B) | c

Квартус подойдет?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну ISE выдавало подобные штуки в отчёте fitter'а... а дальше-то что ?

 

А как ето увидеть? В версиях 10-11 работает или нет? Только для CPLD или нет?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А как ето увидеть? В версиях 10-11 работает или нет? Только для CPLD или нет?

Отвечать удобней в обратном порядке, хе-хе.

 

3. В Xilinx ISE: Fitter - только у CPLD, у FPGA - MAP / P&R.

 

2. Нижеприведённый пример добытый в ISE 10.1.03, из кода

library IEEE;
use IEEE.Std_Logic_1164.all;

Library UNISIM;
use UNISIM.vcomponents.all;


entity CLK is port (
    A:        in    std_logic;
    B:        in    std_logic;
    C:        in    std_logic;
    O:        out    std_logic );
end entity;


architecture Arc of CLK is begin
    O <= (not(a) and B) or C;
end architecture;

1. Запустаем Fitter Report и бредём в раздел equation, а далее выбираем вид: Abel, VHDL или Verilog.

post-18188-1299005629_thumb.png.post-18188-1299005611_thumb.png.post-18188-1299005625_thumb.png

 

Ну вот Вы его и увидели в отчёте fitter'а... а дальше-то что ??

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...