klop 0 21 февраля, 2011 Опубликовано 21 февраля, 2011 · Жалоба Добрый день, Скажете а что нибудь кроме Altera MAX+PLUS может в репорте выдавать logic equations вида y = (~a & B) | c Заранее спасибо. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Beby 8 21 февраля, 2011 Опубликовано 21 февраля, 2011 · Жалоба Скажете а что нибудь кроме Altera MAX+PLUS может в репорте выдавать logic equations вида y = (~a & B) | c Ну ISE выдавало подобные штуки в отчёте fitter'а... а дальше-то что ? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
dvladim 0 21 февраля, 2011 Опубликовано 21 февраля, 2011 · Жалоба Скажете а что нибудь кроме Altera MAX+PLUS может в репорте выдавать logic equations вида y = (~a & B) | c Квартус подойдет? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
klop 0 25 февраля, 2011 Опубликовано 25 февраля, 2011 · Жалоба Ну ISE выдавало подобные штуки в отчёте fitter'а... а дальше-то что ? А как ето увидеть? В версиях 10-11 работает или нет? Только для CPLD или нет? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Beby 8 1 марта, 2011 Опубликовано 1 марта, 2011 · Жалоба А как ето увидеть? В версиях 10-11 работает или нет? Только для CPLD или нет? Отвечать удобней в обратном порядке, хе-хе. 3. В Xilinx ISE: Fitter - только у CPLD, у FPGA - MAP / P&R. 2. Нижеприведённый пример добытый в ISE 10.1.03, из кода library IEEE; use IEEE.Std_Logic_1164.all; Library UNISIM; use UNISIM.vcomponents.all; entity CLK is port ( A: in std_logic; B: in std_logic; C: in std_logic; O: out std_logic ); end entity; architecture Arc of CLK is begin O <= (not(a) and B) or C; end architecture; 1. Запустаем Fitter Report и бредём в раздел equation, а далее выбираем вид: Abel, VHDL или Verilog. .. Ну вот Вы его и увидели в отчёте fitter'а... а дальше-то что ?? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться