Перейти к содержанию
    

Синхронизация PLL альтеры

Надо подумать, может выставить частоты DDS и PLL плисы можно, чтоб ровно совпадали.

Чтобы ответить на этот вопрос надо понять, зачем у вас стоит DDS. Наверное вы перестраиваете частоту (иначе, чтобы просто получить фиксироанные чистые 3 МГц можно было бы поставить гораздо более простой и дешевый чип) - тогда внутренней PLL FPGA не получится. Придется ставить внешнюю PLL и умножать эти 3 МГц, чтобы подать в FPGA.

 

 

Да в блоке FFT тормоза нет нигде (ну типа энейбла какого-нибудь), надо ставить везде, потом снова тестить - времени уйдет.

Да ладно, что там тестить - весь блок, который данные закачивает завернуть в if(enable) и все IMHO.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да в блоке FFT тормоза нет нигде (ну типа энейбла какого-нибудь), надо ставить везде, потом снова тестить - времени уйдет.

дело не в тормозах, дело в том, что после оцифровки джиттер уже по барабану. да и частоту можно в широких пределах крутить - запас по быстродействию у вас явно есть. лучше день потерять, потом за пять минут долететь :)

//не OFDM часом делаете?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

одного стабильного хорошего генератора 16МГц.

Берем генератор на 24 МГЦ.

Через буфера с нулевой задержкой и на ацп и на плис с Pll.

С выхода ацп данные сопровождаемые клоком на плис (выбираем каждый 8 отсчет)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

(выбираем каждый 8 отсчет)

да за такое канделябром %)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да за такое канделябром %)

 

Канделябр как инструмент - это мне ближе. От интеллигента желательно вежливое пояснение ошибочного мнения.

(Я контору раскрутил на годовую подписку Компоненты и Технологии)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

От интеллигента желательно вежливое пояснение ошибочного мнения.

Как лицо, похожее на интеллигента, считаю, что выбрасывать отсчеты "на ветер" неразумно. Куда лучше их использовать для фильтрации, взять, хотя бы, среднее из восьми. Делается очень легко.

Для топикстартера

а назвать АЦП можете?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо!!! Столько ответов, есть над чем подумать

 

Как лицо, похожее на интеллигента, считаю, что выбрасывать отсчеты "на ветер" неразумно. Куда лучше их использовать для фильтрации, взять, хотя бы, среднее из восьми. Делается очень легко.

Для топикстартера

а назвать АЦП можете?

1. Отсчеты как раз фильтрую, потом прореживаю. Есть это 2.Так вроде называл, AD7625.

 

Берем генератор на 24 МГЦ.

Через буфера с нулевой задержкой и на ацп и на плис с Pll.

С выхода ацп данные сопровождаемые клоком на плис (выбираем каждый 8 отсчет)

 

А перестраивать +-10 кГц как? А если dds - тогда уже не кратно...

 

//не OFDM часом делаете?

Его родного!

 

Чтобы ответить на этот вопрос надо понять, зачем у вас стоит DDS. Наверное вы перестраиваете частоту (иначе, чтобы просто получить фиксироанные чистые 3 МГц можно было бы поставить гораздо более простой и дешевый чип) - тогда внутренней PLL FPGA не получится. Придется ставить внешнюю PLL и умножать эти 3 МГц, чтобы подать в FPGA.

 

Именно подстройку делать надо, в небольших пределах. Похоже только внешний плл.

 

Или все-таки энейбл какой-нибудь.

 

В этом случае вопрос о синхронизации. Если сигнал, идущий с одним клоком, пересинхронизировать на другой, то все ясно: пара-тройка триггеров и метастабильности нет, а когда данные пересинхронизируем (т.е. данные идут с одним клоком, а выбираем с другим) тут ведь какой-то сигнал пожет в одном тригере защелкнуться, а какой-то нет. В итоге -ложный отсчет. Как тут быть?

 

 

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В этом случае вопрос о синхронизации. Если сигнал, идущий с одним клоком, пересинхронизировать на другой, то все ясно: пара-тройка триггеров и метастабильности нет, а когда данные пересинхронизируем (т.е. данные идут с одним клоком, а выбираем с другим) тут ведь какой-то сигнал пожет в одном тригере защелкнуться, а какой-то нет. В итоге -ложный отсчет. Как тут быть?

двухклоковая FIFO. синхронизаторы у ней по управляющим сигналам стоят, этого достаточно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В этом АЦП есть CLK, CNV, DCO. Есть из чего сделать все, как надо.

Генератор внешний получается, так он и был внешний :)

Частоту преобразования задавать CNV с ПЛИС, а CLK будет более высокой, как требует ФАПЧ в ПЛИС. Данные в ПЛИС загонять по DCO, а внутри на высокой частоте защелкивать с учетом состояния DCO.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Отсчеты как раз фильтрую, потом прореживаю. Есть это 2.

частоту оцифровки увеличьте и полифазником фильтраните, будет лучше во всех отношениях.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...