juvf 17 22 ноября, 2010 Опубликовано 22 ноября, 2010 (изменено) · Жалоба Собрал ниос с контроллером sdram и с джитагом. Добавил в Sdc файл свой клок create_clock -name {clock} -period 20.000 -waveform { 0.000 10.000 } [get_ports {clock}] получил критичиские предупреждения Critical Warning: From altera_reserved_tck (Rise) to altera_reserved_tck (Rise) (setup and hold) Critical Warning: From altera_reserved_tck (Rise) to altera_reserved_tck (Fall) (setup and hold) Critical Warning: From altera_reserved_tck (Fall) to altera_reserved_tck (Fall) (setup and hold) Critical Warning: From clock (Rise) to clock (Rise) (hold) Critical Warning: From clock (Fall) to clock (Rise) (hold) Critical Warning: From clock (Rise) to clock (Fall) (hold) от этого есть лекарство - нужно прописать времена задержек set_clock_uncertainty. Но какие они должны быть? Не понятно. Какие они должны быть для altera_reserved_tck и для clock? Изменено 22 ноября, 2010 пользователем juvf Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
vadimuzzz 0 22 ноября, 2010 Опубликовано 22 ноября, 2010 · Жалоба # Automatically calculate clock uncertainty to jitter and other effects. derive_clock_uncertainty altera_reserved_tck - там это лишнее set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
juvf 17 22 ноября, 2010 Опубликовано 22 ноября, 2010 · Жалоба # Automatically calculate clock uncertainty to jitter and other effects. derive_clock_uncertainty altera_reserved_tck - там это лишнее set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}] Спасибо. помогло. -30 ворнингов сразу. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться