Перейти к содержанию
    

Конфигурации в Verilog и QuestaSim

давно интересует тема использования Верилоговской конструкции config для конфигурации проектов в Model/QuestaSim.

кто-нибудь пользуется этой конструкцией? если да, то есть ли какие-нибудь специфические особенности? например: где (в каком файле) может/должно располагаться описание конфигурации/библиотеки, должен ли это быть отдельный файл и какое расширение он должен иметь; куда он цепляется(как обычный файл исходник, или каким-то особым способом)?

ЗЫЖ я как-то несколько лет назад пробовал экспериментировать с конфигурациями и моделсимом, но у меня не получилось их подружить - доходчивой информации в док. на моделсим я не нашёл.

 

спасибо за консультацию

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Конструкцией config не пользуюсь, но тоже интересовался этим вопросом, потому и перевёл соответствующий раздел стандарта. Честно, Ваш вопрос изумляет и настораживает, т.к. на первый взгляд в стандарте всё более-менее понятно. На мой взгляд, смысл таков - компилируем какие хотим исходники в какие хотим библиотеки, получая таким образом либы, в которых содержатся некие ячейки (cell). Ячейками согласно стандарту являются module, primitive, interface, program, package и config. И они там лежат и никого не трогают до тех пор, пока мы не захотим их использовать. С помощью config собираем свой проект из библиотечных кубиков непосредственно в исходном файле, указывая в config, внутренности какой ячейки и из какой библиотеки мы используем для связывания с каждой (или некоторыми) ячейками нашего проекта. Есть ещё там заморочки с library map file, с помощью которого можно раскидать ячейки по разным библиотекам на этапе компиляции одной командой, но для себя я пользы в этом не увидел. Вроде ещё этот самый файл рекомендуется хранить вместе с библиотеками, но зачем, я так и не понял. Имя файла и механизм его чтения отданы на откуп писателям тулов. В стандарте в примере фигурирует имя lib.map. Ну а каким синтаксисом подключать его к команде компиляции - тут надо курить доку на тул. Странно, что Вы не нашли. Сам я не искал, но ведь это стандарт - и тут, имхо, вопрос стоит о поддержке этого куска стандарта квестой. Если поддерживает - должно быть в доках.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Странно, что Вы не нашли. Сам я не искал, но ведь это стандарт - и тут, имхо, вопрос стоит о поддержке этого куска стандарта квестой. Если поддерживает - должно быть в доках.

да в доках-то на Квесту/МоделСим есть подсекция посвящённая config, но как этим пользоваться на практике я так и не разобрался, хотя тыкал мышкой день как минимум, потом забил на языковую поддержку либ и вернулся на Менторовскую, но хочется языковую чтоб была большая автоматизация.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я имел ввиду, что в доках должно быть про library mapping file. Именно то, что отдано на откуп тулописателям. Так, кстати и есть. Ключик для vlog:

-libmap <pathname>
Specifies a Verilog 2001 library map file. Optional. You can omit this argument by placing
the library map file as the first option on the vlog invocation (e.g., vlog top.map top.v
top_cfg.v).

А config описан в стандарте.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...