Перейти к содержанию
    

Первые впечатления от ISE

не в хилых дело. в любом случае - симуляция моделсимом удобнее чем встроенными симуляторами, ну и один синтезатор независимо от производителя чипов - тоже удобно. позволяет привыкнуть к констрейнам и всё такое. ну и текстовый редактор - это уже чисто под себя. так что такой подход вполне оправдан.

 

но в любом случае схемотехнический ввод - это полный атавизм и геморрой.

 

 

думается что Xilinxу хочется позиционироваться как полное решение с софтом - на практике все несколько иначе....

 

не думаю что у нас есть противоречие по основным пунктам - главное правильно настроить "свое" окружение

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

думается что Xilinxу хочется позиционироваться как полное решение с софтом - на практике все несколько иначе....

а мне думается, что Xilinxу хочется позиционироваться производителем чипов FPGA. а софт - лишь бы проект внутрь впихнули. в итоге - чипы развиваются, а вот ISE просто одни глюки меняет на другие.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а софт - лишь бы проект внутрь впихнули.

Я дико возмущен. Никто не отметил того факта, что ISE дает полный контроль над результатами компиляции, вплоть до полностью ручной расстановки и разводки, именно эта возможность, на мой взгляд, определяет основное преимущество среды. По этой причине лет 10 назад я перешел на Xilinx, и теперь вспоминаю Altera только в самых страшных снах. Графическая оболочка + схемный ввод схем + внутренний симулятор - это только около 10% среды. Не слышал, чтобы кто-то упомянул о глюках самого P&R, не в смысле "ой, программа выполнила недопустимую операцию", а что-нибудь "использую 4-х входовую функцию, а P&R размещает ее в 2-х LUT-ах". Я много работал со службой поддержки, ошибки ядра исправляются, хотя и не так быстро как хотелось. Хотя ручной контроль позволяет в 99% случаев обойти эти глюки. UltraEdit + командная строка + ModelSim позволяют мне решить задачи любого уровня сложности.

Пора уже не по "одежке" оценивать, а по "уму"!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я дико возмущен. Никто не отметил того факта, что ISE дает полный контроль над результатами компиляции, вплоть до полностью ручной расстановки и разводки, именно эта возможность, на мой взгляд, определяет основное преимущество среды. По этой причине лет 10 назад я перешел на Xilinx, и теперь вспоминаю Altera только в самых страшных снах. Графическая оболочка + схемный ввод схем + внутренний симулятор - это только около 10% среды. Не слышал, чтобы кто-то упомянул о глюках самого P&R, не в смысле "ой, программа выполнила недопустимую операцию", а что-нибудь "использую 4-х входовую функцию, а P&R размещает ее в 2-х LUT-ах". Я много работал со службой поддержки, ошибки ядра исправляются, хотя и не так быстро как хотелось. Хотя ручной контроль позволяет в 99% случаев обойти эти глюки. UltraEdit + командная строка + ModelSim позволяют мне решить задачи любого уровня сложности.

Пора уже не по "одежке" оценивать, а по "уму"!

:beer: +1500

Мне тоже ISE нравится. Схематиком не пользуюсь, а для HDL использую внешний текстовый редактор ProgrammNotepad.

Встроенный симулятор ISIM в отличие от КАКТУСА позволяет осуществлять поведенческое моделирование и ему не нужно разводить проект по кристаллу чтобы промоделировать HDL-модуль, но Post-PAR simulation он тоже поддерживает. Ежели хочется чего побольше и побыстрее промоделировать - то моделсим в руки и вперёд.

К XST и PAR у меня претензий нет - они не глючат и нормально работают, и понемногоу развиваются в сторону ускорения компиляции и поддержки многопроцессорности.

 

С синплифаем как-то тестил - имхо при его использвании проблем и глюков возникает гораздо больше, чем выигрыша в быстродействии (SV мне не нужен, т.к. сижу на VHDL).

 

Ну и EDK, PlanAhead, FPGA_editor, Chipscope - очень полезные и нужные тулзы - постоянно их использую в народном хозяйстве - особых глюков в них не замечено.

 

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не слышал, чтобы кто-то упомянул о глюках самого P&R, не в смысле "ой, программа выполнила недопустимую операцию", а что-нибудь "использую 4-х входовую функцию, а P&R размещает ее в 2-х LUT-ах". Я много работал со службой поддержки, ошибки ядра исправляются, хотя и не так быстро как хотелось. Хотя ручной контроль позволяет в 99% случаев обойти эти глюки. UltraEdit + командная строка + ModelSim позволяют мне решить задачи любого уровня сложности.

Пора уже не по "одежке" оценивать, а по "уму"!

Ну, тогда слушайте, и не говорите, что не слышали: глючит и их MAP/P&R. В 10.1SP3, например, на V-5 далеко не всегда работает LUT Combining. Есть и еще мелкие ошибки. Да, они обычно умеренно оперативно устраняются, но взамен периодически появляются новые. Например, в ISE 11.5 кое чего запили по V-5 HardCore (когда улучшали аналогичный S-6 HardCore), чего работало в 11.4; через некоторое время появился patch (за который Xilinx ничем отвечать не хочет), но вроде как эту ошибку он прикрывает.

 

Сам я от ISE пользую только XST, Implementation и Hardware Debugging; а Design Entry/Simulation – сторонние (из-за крайней ублюдочности ISE'шных). Особо мне нравится FPGA Editor, которым можно поглядеть, что и как можно (нужно) использовать внутри ПЛИС.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Встроенный симулятор ISIM в отличие от КАКТУСА позволяет осуществлять поведенческое моделирование и ему не нужно разводить проект по кристаллу чтобы промоделировать HDL-модуль, но Post-PAR simulation он тоже поддерживает.

наглая ложь, так и признайтесь что не читали хендбук :biggrin:

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

глючит и их MAP/P&R.

Я не говорю об абсолютной безглючности ядра, а пытаюсь развить тему в другом направлении. Очень рад буду услышать не об абстрактных, а вполне конкретных ошибках, когда сами потратили какое-то время на поиск, локализовали проблему и точно можете сказать при каких условиях и в каком именно месте (XST, Map, Place, Route или Bitgen) возникает ошибка. Примерно также, когда обращаетесь в службу поддержки, пока сами не опишите подробно проблему, желательно на простеньком примерчике, дядечка из-за границы за вас ничего делать и решать не будет.

В последний раз общался с каким-то ирландцем, он просто со смеху падал от моего английского, ждал каждого нового моего письма как новый выпуск "Comedy Club" :biggrin:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, да, пусть выскажутся те, кто перешел с ISE на Quartus. Уверен им есть что сказать по поводу "страшно неудобного интерфейса" :)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очень рад буду услышать не об абстрактных, а вполне конкретных ошибках, когда сами потратили какое-то время на поиск, локализовали проблему и точно можете сказать при каких условиях и в каком именно месте (XST, Map, Place, Route или Bitgen) возникает ошибка.

Ну вот, порадуйтесь: переформатированный фрагмент из Post P&R Timing Report от ISE 11.5 при работе с V6LXT240:

TS_pll_clkout2 = PERIOD TIMEGRP "pll_clkout2" TS_CLK / 0.5 HIGH 50%
Worse case slack SETUP/ HOLD: -0.002ns/ 0.000ns
Timing Error SETUP/ HOLD: 0/0
Timing Score SETUP/ HOLD: 0/0

Период TS_CLK = 2.778ps (аля 180МГц), итоговый период для TS_pll_clkout2 в Timing Report получился 5.558ns, а должен бы 5.556ns. Вот на эти 2 ps и ошибка...

 

С одной стороны - отрицательный slack, с другой - отсутствие Timing Error и Timing Score. Чему верить, slack < 0, или Timing Error = 0 ??

Ошибка мелочная, но как заноза в заднице. Если чего, еще можно накопать похожих...

 

P.S. Сам работаю только с Xilinx (начиная с 1.5i), может и зря, конечно, но что-то в своё время Quartus + Cyclone 1 не вдохновили (после ISE 3.x/5.x), а до других ПЛИС руки так и не дошли.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ошибка мелочная, но как заноза в заднице. Если чего, еще можно накопать похожих...

Давайте покрупнее, а то даже не вижу что к чему

 

P.S. Сам работаю только с Xilinx (начиная с 1.5i), может и зря, конечно

XACT 5.2.1 не застали?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Давайте покрупнее
Это покапать надо некоторое время - чем крупнее, тем тяжелей выкапывается.

 

XACT 5.2.1 не застали?
При загрузке этого (и в 1.5i, и в 3.3i):

post-18188-1289419465_thumb.png

На Splash screen писалось чё-та про xAct. Это оно самое и есть ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну я скажу свои 5 копеек... :)

Xilinx ISE мне тоже нравится, а вот Libero IDE от Actel - очееень не нравится (сырой какой-то...). Просто небо и земля...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На Splash screen писалось чё-та про xAct. Это оно самое и есть ?

Неа, GUI еще не было

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мужики, гляньте размер архивого файла у Кактуса и ИСЕ!

Разница более чем на порядок!... Не экономно, однако... Кактус лаконичней!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мужики, гляньте размер архивого файла у Кактуса и ИСЕ!

Разница более чем на порядок!... Не экономно, однако... Кактус лаконичней!

 

Жесть - перехожу на Quartus! :-)

 

P.S.

Как сравнивали?

А если серьезно - то этот критерий думаю никто в рассмотрение не берет :-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...