Перейти к содержанию
    

Вопрос любителям Modelsim-a

Здесь много кто негативно отзывается про Aldec Active-HDL в плане симулятора. Есть ли в Modelsim опция подсчета событий у сигнала. Надо подсчитать количество переходов из 1 в 0 и из 0 в 1 за определенный промежуток времени - на глаз определить сложно, есть ли эта функция в Modelsim? В Aldec Active-HDL - такая возможноть есть...ППКС

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

..Надо подсчитать количество переходов из 1 в 0 и из 0 в 1 за определенный промежуток времени...

Ну так кто же мешает в тестбенче это дописать? Сделать счетчик событий и читать время. Да еще по каждому событию можно распечатывать само событие и его время... Если это кому-то надо... Нет здесь проблемы... И от инструмента это не зависит вовсе...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну так кто же мешает в тестбенче это дописать? Сделать счетчик событий и читать время. Да еще по каждому событию можно распечатывать само событие и его время... Если это кому-то надо... Нет здесь проблемы... И от инструмента это не зависит вовсе...

Это понятно, что можно тэстбэнчем описать со счетчиком....мне интересно возможности modelsim, то есть в удобопользовании. Во всяких там мануалах я этого не нашел, хотя и в Active-HDL- не сразу нашел эту опцию. Хочу перейти на Aldec и вот прикидываю с чем придется столкнуться.

Изменено пользователем ADA007

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это понятно, что можно тэстбэнчем описать со счетчиком....мне интересно возможности modelsim, то есть в удобопользовании. Во всяких там мануалах я этого не нашел, хотя и в Active-HDL- не сразу нашел эту опцию. Хочу перейти на Aldec и вот прикидываю с чем придется столкнуться.

 

делаете ассерт на событие (можете в окошко точные значения выводить), он на временной диаграмме ассерты метит треугольниками - я часто таким пользуюсь

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здесь много кто негативно отзывается про Aldec Active-HDL в плане симулятора.

....мне интересно возможности modelsim, то есть в удобопользовании.

Для справки. Тем, кто нехорошо отзывался об актив, прежде всего интересны строгое соблюдение стандартов, а не удобопользование, как Вам :)

Мой небольшой опыт работы в актив и гораздо бОльший опыт работы в моделсим позволяет сделать следующие выводы:

1. актив при симуляции часто валится без объяснения причин, несмотря на успешную компиляцию. Т.е ошибок в своём коде в этом случае Вы не найдёте, несмотря на их наличие. Моделсим при прогоне этого же кода чётко укажет Вам на ошибку. Иными словами, актив компилирует код, не соответствующий стандарту. Случаев обвала моделсим без объяснения причин припомнить не могу.

2. в актив плохая поддержка стандарта SV.

Хочу перейти на Aldec и вот прикидываю с чем придется столкнуться.

Вот именно с этим и придётся столкнуться. Готовтесь :)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здесь много кто негативно отзывается про Aldec Active-HDL в плане симулятора. Есть ли в Modelsim опция подсчета событий у сигнала. Надо подсчитать количество переходов из 1 в 0 и из 0 в 1 за определенный промежуток времени - на глаз определить сложно, есть ли эта функция в Modelsim? В Aldec Active-HDL - такая возможноть есть...ППКС

 

Можно и средствами Modelsim. В окне wave

Edit ->Wave Signal Search -> Any transition + Search until time

 

Считает от текущего курсора и до забора.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно и средствами Modelsim. В окне wave

Edit ->Wave Signal Search -> Any transition + Search until time

 

Считает от текущего курсора и до забора.

Спасибо, это как раз то, что я искал! :08:

И по ходу еще вопрос. Кто-нибудь разрабатывает проект прямо из Modelsim-a или. В компиляторе моделсима нету таких же разногласий со стандартом, как у Aldec Active-HDL? тоесть проект созданный и скомпилированный в моделсиме откомпилиться в ИСЕ или в Квартусе?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто-нибудь разрабатывает проект прямо из Modelsim-a или. В компиляторе моделсима нету таких же разногласий со стандартом, как у Aldec Active-HDL? тоесть проект созданный и скомпилированный в моделсиме откомпилиться в ИСЕ или в Квартусе?

Так я все время так и делаю. Большая часть времени - это работа в редакторе EditPlus2 и в Моделсиме. И только когда RTL готов, то подключается ИСЕ...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В дагонку скажу, что количество переключений можно определить через использование code caverage, если не ошибаюсь там появляются доп вкладки и на одной из них есть информация о количестве переключений каждого пина

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... тоесть проект созданный и скомпилированный в моделсиме откомпилиться в ИСЕ или в Квартусе?...

С асей у меня были проблемы, с квартусом пока ни разу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А как быть с переменными? если в проекте, написанном на vhdl variable показываются в modelsim-е в окне Locals (и то почему-то не все, а только какие он сам захочет показать=)), то в проектах на verilog как вывести переменные на поле Wave(в окне Locals они не отображаются)??? :laughing: В Active-HDL это делалось на раз-два....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А как быть с переменными? если в проекте, написанном на vhdl variable показываются в modelsim-е в окне Locals (и то почему-то не все, а только какие он сам захочет показать=)), то в проектах на verilog как вывести переменные на поле Wave(в окне Locals они не отображаются)??? :laughing: В Active-HDL это делалось на раз-два....

учите матчасть, в комплекте поставки есть великолепные tutorial по использованию.

 

PS. ваш вопрос решается так vsim -novopt <your_tb_name>

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

учите матчасть, в комплекте поставки есть великолепные tutorial по использованию.

Посмотрим..

PS. ваш вопрос решается так vsim -novopt <your_tb_name>

Премного благодарен...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В продолжение темы...Как в modelsime подключить к основному файлу файл с функцией?

В файле func.v пишу...

function [7:0] data_out;
    input [7:0] data_in;
     begin
     ............
    end
  
  endfunction

в main.v

...
output reg [7 : 0] data_o;
...
`include "func.v"
...

data_o <= data_out(byte_1,byte_2);

 

ISE такую запись съедает аж бегом...никаких warning-ов....а вот modelsim выделяет строчку "function [7:0] data_out;" пишет, что

** Error: D:/.../src/func.v(3): (vlog-2155) Global declarations are illegal in Verilog 2001 syntax.

 

Кто подскажет, как файл verilog с функцией внутри подключить к главному файлу? пожалуйста, очень надо..... :unsure:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть гипотеза, что в каком-то месте Вы даёте команду скомпилировать (vlog) отдельно файл func.v. Может быть - неявно, включив его в проект ISE / Quartus и оставив тип файла "verilog source". Вот Modelsim и ругается на то, что ожидал увидель модуль, а встретил функцию, которая, получается, глобальна, декларирована "вне всего", что допустимо только в SV. А правильно - НИГДЕ не помещать файлы, используемые только для включения в другие, под команды компиляции, в том числе устанавливать их тип как "просто текстовые файлы" при добавлении в проект - если Вы пользуетесь вызовом Modelsim из ISE / Quartus.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...