Перейти к содержанию
    

Откликнитесь please те кому приходилось симулировать мегафункцию fft в Q8.

 

Интересует последовательность действий как подключить fft_tb.vhd для симуляции.

 

Что я уже сделал:

 

1. В матлабе создал два текстовых файла для мнимой и действительной частей входных данных.

Правда не уверен в формате данных в этих текстовых файлах.

Если можно, подкиньте пожалуйста пример этих текстовых файлов для сравнения.

2. Перенес текстовые файлы в папку с откомпилированным проэктом мегафункции fft.

 

А дальше заклинило...

 

Подскажите пожалуйста что делать дальше.

 

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Интересует последовательность действий как подключить fft_tb.vhd для симуляции.
Я не делал этого, но название fft_tb.vhd говорит о том, что в квартусе вы это не отсимуляете, Active-HDL или ModelSim (что ближе) Вам в руки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я не делал этого, но название fft_tb.vhd говорит о том, что в квартусе вы это не отсимуляете, Active-HDL или ModelSim (что ближе) Вам в руки.

 

Неужели симулятор Q не может работать с тестбенчами vhd? В Xilinx тестбенч vhd работает проямо в среде.

В хелпе Q8 действительно речь идет об экспорте ваеформ в EDA tol... Что такое EDA?

 

Если это действительно так, то буду признателен за лекарство для Modelsim 6.1g который у меня установился вместе с Q8 или любой другой (может подойдет).

 

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Неужели симулятор Q не может работать с тестбенчами vhd? В Xilinx тестбенч vhd работает проямо в среде.

В хелпе Q8 действительно речь идет об экспорте ваеформ в EDA tol... Что такое EDA?

 

Если это действительно так, то буду признателен за лекарство для Modelsim 6.1g который у меня установился вместе с Q8 или любой другой (может подойдет).

 

Спасибо.

Так уж сложилось, что альтера не делала полноценный симулятор для тест бенчей, только симулятор того, что в самой микрухе.

IMHO, учитывая что есть в комплекте ModelSim, не велика потеря.

EDA tol... - имеется ввиду собственно внешние проги.

Остальное - есть на ФТП и на торрентах.

IMHO: Active-HDL легче осваивать и использовать, а MidelSim мощнее в наворотах симулятора и поддержке новых стандартов. Сам использую и то и другое. Поищите в поиском, несколько раз на форуме сравнивали.

Изменено пользователем Builder

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Остальное - есть на ФТП и на торрентах.

 

На торренте есть. Но вот правильного лекарства для Модельсима

почему то найти не удается. Не запускается он и все...

 

Буду признателен за лекарство хоть для какого нибудь Модельсима.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поставьте Modelsim Altera Starter Edition. Он бесплатный, не требует лицензии и скачивается прямо с сайта Альтеры. Правда ограничен по размеру моделируемого кода, но думаю на Ваш FFT его должно хватить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На торренте есть. Но вот правильного лекарства для Модельсима

почему то найти не удается. Не запускается он и все...

 

Буду признателен за лекарство хоть для какого нибудь Модельсима.

Странно, может Вы неправильно его постаавили? Куда кидать, брошу то, чем сам пользуюсь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Странно, может Вы неправильно его постаавили? Куда кидать, брошу то, чем сам пользуюсь.

 

[email protected]

 

Пытался запустить 6.1g Altera. Не принимает лицензию. Затем ставил 6.5 от Ментора в надежде на то, что потом подключу альтеровские библиотеки. То же самое - не принимает лицензию. При установке последнего он спрашивал о установке какого то драйвера и ключа (там еще были 3 чекбокса с птичками). Я дал отмену. Может не стоило?

 

Буду признателен за правильное лекарство и совет как правильнол все установить.

 

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Откликнитесь please те кому приходилось симулировать мегафункцию fft в Q8.

 

Интересует последовательность действий как подключить fft_tb.vhd для симуляции.

Ага, симулировал. Все симулруется на ура.

 

Что я уже сделал:

 

1. В матлабе создал два текстовых файла для мнимой и действительной частей входных данных.

Правда не уверен в формате данных в этих текстовых файлах.

Если можно, подкиньте пожалуйста пример этих текстовых файлов для сравнения.

Визард мегафункции сам создает файлы с входными данными, называются real_input.txt и imag_input.txt, должны быть в папке с проектом. Там и формат посмотрите - целые числа, соответствующие разрядности входных данных.

 

2. Перенес текстовые файлы в папку с откомпилированным проэктом мегафункции fft.

 

А дальше заклинило...

 

Подскажите пожалуйста что делать дальше.

Итак, вы хотите подать данные из текстовых файлов на вход корки БПФ и посмотреть результат на выходе. И все это в ModelSim я правильно понимаю??

 

 

[email protected]

 

Пытался запустить 6.1g Altera. Не принимает лицензию. Затем ставил 6.5 от Ментора в надежде на то, что потом подключу альтеровские библиотеки. То же самое - не принимает лицензию. При установке последнего он спрашивал о установке какого то драйвера и ключа (там еще были 3 чекбокса с птичками). Я дал отмену. Может не стоило?

 

Буду признателен за правильное лекарство и совет как правильнол все установить.

 

Спасибо.

Удалось поставить ModelSim??

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Удалось поставить ModelSim??

 

Спасибо, что откликнулись.

 

ModelSim пока не удалось. Но буду пытаться запустить.

 

Основной вопрос!!

 

Пока до конца не понял, неужели для симуляции fft в Q8 нужен ModelSim?

По документации получается, что нужен, поскольку мегафункция создает .vhd тест бенч файл

в котором какраз и читаются текстовые файлы мнимой и действительной частей входных данных.

А тест бенч .vhd я так понял может запуститься только в ModelSim.

 

Визард мегафункции сам создает файлы с входными данными, называются real_input.txt и imag_input.txt, должны быть в папке с проектом. Там и формат посмотрите - целые числа, соответствующие разрядности входных данных

 

У меня почему то эти файлы визард не создал. Может настроил его не так?

Вобщем пришлось их создать в Матлабе и поместить в папку с проектом.

 

Попытаюсь запустить ModelSim. Дальше, если можно, опять к Вам за помощью.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пока до конца не понял, неужели для симуляции fft в Q8 нужен ModelSim?

По документации получается, что нужен, поскольку мегафункция создает .vhd тест бенч файл

в котором какраз и читаются текстовые файлы мнимой и действительной частей входных данных.

А тест бенч .vhd я так понял может запуститься только в ModelSim.

Если до этого вы обходились симулятором, встроенным в Квартус, то, видимо, пришла пора осваивать более продвинутые системы моделирования. Можно ли в Квартусе запускать тестбенчи я не знаю, никогда им не пользовался.

 

У меня почему то эти файлы визард не создал. Может настроил его не так?

Вобщем пришлось их создать в Матлабе и поместить в папку с проектом.

Это очень странно, а в отчете о созданных файлах они есть?? Надо бы разобраться, а то может он корку не сгенерировал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это очень странно, а в отчете о созданных файлах они есть?? Надо бы разобраться, а то может он корку не сгенерировал.

 

Model Sim запустил. Теперь запущу по новой мегафункцию. Посмотрю какие файлы она создает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Model Sim запустил. Теперь запущу по новой мегафункцию. Посмотрю какие файлы она создает.
А что было? Сделали как было по ссылке, которую в личку бросал?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Model Sim запустил. Теперь запущу по новой мегафункцию. Посмотрю какие файлы она создает.

 

Отлично. До успешного результата осталось немного.

 

Ладно я тут опишу как я моделился.

 

1. Надо подключить библиотеки от альтеры, если их еще нет (altera,altera_mf,lpm,sgate). Для этого заходим в Квартусе по адресу Tools->Launch EDA Simulator library compiler. Там выбираем среду - Modelsim, семейство ПЛИСа, языки VHDL,verilog и выходную папку. Можно скомпилировать их в папку с ModelSim, и далее прописать их в файле modelsim.ini, чтобы они всегда подгружались при запуске.

 

2. Написать нехитрый скрипт для компиляции и запуска FFT корки. Назвать его например fft.do

Пути к файлам прописать свои естественно. Потом можно будет все это получше автоматизировать, но для того, чтобы посмотреть работу кора хватит.

## Компиляция
vcom -work work -93 -explicit -quiet -source -O0 -cover s -novopt D:/altera/work/fft/fft_tb.vhd
vcom -work work -93 -explicit -quiet -source -O0 -cover s -novopt D:/altera/work/fft/fft.vho

## Запуск проекта на моделирование
vsim -t 1ps -title FFT work.fft_tb
## 
view wave

 

Сама модель корки для функционального моделирования в файле fft.vho, в тестбенче ее entity уже подключен. Поэтому больше ничего делать не надо.

 

3. Запустить моделсим и перейти в папку с проектом с помощью команды cd <путь к проекту>

4. Запустить скипт командой do fft.do

 

Все должно скомпилироваться, останется нажать только кнопку run -all и любовать результатом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что было? Сделали как было по ссылке, которую в личку бросал?

 

Большое спасибо. Хорошая ссылка.

Надеюсь запустить МS 6.5

 

На данный момент получилось запустиь MS от Альтеры (который 6.1 под Q8).

 

Прогнал по новой мегафункцию (он действительно создал текстовые файлы мнимой и действительной частей входных данных), в качестве симулятора указал внешний Модель Сим.

Запустил еще раз компилер, который в свою очередь после завершения запустил Модель сим (он по моему запустился скриптом созданным самой коркой).

 

Модель Сим загрузил необходимые библиотеки, что-то откомпилировал и сейчас крутится...Жду результат...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...