Перейти к содержанию
    

Непонятки с Waveform Editor ISE 7.1

В проекте есть вывод типа inout, как в Waveform Editor ISE 7.1 переключать режим как вход я использую этот вывод или как выход в ISE 6.3 по правой кнопке мыши , а тут?

 

Дело в том, что если я задаю значение на выводе inout в Waveform Editor, то оно почему-то не отображается в VHDL модели для симуляции, приходится симуляционный код править ручками, что неудобно совсем.

 

зы:СП3 стоит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В проекте есть вывод типа inout, как в Waveform Editor ISE 7.1 переключать режим как вход я использую этот вывод или как выход в ISE 6.3 по правой кнопке мыши , а тут?

 

Дело в том, что если я  задаю значение на выводе inout в Waveform Editor, то оно почему-то не отображается в VHDL модели для симуляции, приходится симуляционный код править ручками, что неудобно совсем.

 

зы:СП3 стоит.

БЛИН пишите тестбенчи ручками, для inoutа нужен драйвер (сигнал разрешения). и разруливать его в тестбенче нужно корректно

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

БЛИН пишите тестбенчи ручками, для inoutа нужен драйвер (сигнал разрешения). и разруливать его в тестбенче нужно корректно

Да так и приходиться в данном случае делать, но все таки пока мне удобнее в графической форме задавать тест...

ЗЫ: Однако жаль что вы так и не ответили по существу вопроса

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да так и приходиться в данном случае делать, но все таки пока мне удобнее в графической форме задавать тест...

Бросьте это дело.Привыкайте писать тестбенчи сразу.Иначе когда будете отлаживать большой(или не очень большой) проект, то грамотно написаный тестбенч может сократить время отладки в разы.

Тем более, как выяснилось,например,в Альдеке временное моделирование с тестовыми воздействиями из Waveform-а ваще не работает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Бросьте это дело.Привыкайте писать тестбенчи сразу.Иначе когда будете отлаживать большой(или не очень большой) проект, то грамотно написаный тестбенч может сократить время отладки в разы.

Ну а собственно говоря, почему в разы по сравнению с графическим вводом??

В чем уж такое большое преимущество текстового ввода теста?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Бросьте это дело.Привыкайте писать тестбенчи сразу.Иначе когда будете отлаживать большой(или не очень большой) проект, то грамотно написаный тестбенч может сократить время отладки в разы.

Ну а собственно говоря, почему в разы по сравнению с графическим вводом??

В чем уж такое большое преимущество текстового ввода теста?

Потому, что при текстовом вводе на языке доступно, во-первых, поведенческое описание, во-вторых, можно легко описать логику обработки выходных сигналов синтезируемой части и генерировать входные сигналы в соответствии с логикой работы окружения, т.е. моделировать всю систему, а не только синтезируемую часть. Разница, как грицца, половая. :) Т.ч. мужчины правильно советуют.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Согаласен, убедили, особенно п.2, но хочется получить и ответ на вопрос по топику.

 

Неужели так никто и не пробывал работать в Wаveform Editor 7.1, с шиной inout? Или такой глюк только у меня??

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну а собственно говоря, почему в разы по сравнению с графическим вводом??

Для примера накидайте в графическом вводе пару транзакций PCI.

Ну, или парочку транзакций usb.И чтобы был контроль ошибок на шине.Ну и ваще было бы круто, если бы ещё в консоль писалось номер транзакции с ошибкой и тип этой ошибки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для примера накидайте в графическом вводе пару транзакций PCI.

Ну, или парочку транзакций  usb.И чтобы был контроль ошибок на шине.Ну и ваще было бы круто, если бы ещё  в консоль писалось номер транзакции с ошибкой и  тип этой ошибки.

Для PCI приходилось делать, но в все в графическом вводе тестов.

Однако интерфейсные вещи ИМХО только в реальном железе можно отлаживать, при прогоне в сутки или более. Сильно интерфейс не отмоделируешь в моделях.

А модельку простенкую можно и в графике накидать.

 

Но, как уже говорил, согласен текстовый ввод лучше. :cheers:

 

Однако жаль что так и нет ответа на топик :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Недавно сам спрашивал и на форумах и у местных дилеров Xilinx как побороть сие "нововведение" в ISE 7.1. И понял, что нас заставляют работать правильно. Не получается в WaweForm Editor'е задать для двунаправленных сигналов входные состояния. Поэтому пришлось старые "графические" тест-бенчи переписывать на VHDL. В принципе, это только на пользу пошло и в плане совместимости, и информативности и особенно при дальнейших модификациях. Но то, что простейшие стимулы нельзя по быстрому задать в графике - это не правильно.

Кстати полезный документ Xilinx на эту тему xapp199.pdf.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Недавно сам спрашивал и на форумах и у местных дилеров Xilinx как побороть сие "нововведение" в ISE 7.1. И понял, что нас заставляют работать правильно. Не получается в WaweForm Editor'е задать для двунаправленных сигналов входные состояния.

Спасибо, за ответ, хоть что-то прояснилось, а то я думал что только у меня одного такая проблема.

Сам сейчас правлю файл создаваемый в WaweForm Editor'е, и задаю нужные воздействия. Но, конечно, правильнее с нуля все ручками писать.

Что-ж кажется время пришло :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...