Перейти к содержанию
    

генератор переменной частоты на ПЛИС

Конечно куча значений, а как Вы хотели... (можно и без кучи значений обойтись, но тогда надо городить вычислитель - с Block RAM обычно попроще выходит).

А у Block RAM, есть атрибут начальной инициализации - INIT (читай: cgd.pdf, lib.pdf, xst.pdf), позволяющий использовать этот BRAM, как BROM. Конечно, заполнять начальными значениями удобнее на VHDL/Verilog,.. но можно и ручками поработать,.. да и были еще mem файлы - надо только поглядеть в сторону IP Core Generator - он достаточно хорошо работает с схемным вводом проекта.

 

Боюсь, что с той дискретой которая мне требуется мне просто объема памяти не хватит, если я забью все RAMами

 

 

Наверное, Вы - студент... Я угадал ?

 

Я не студент - работаю в фирме инж.электрик. Руководство поставило задачу реализовать генератор переменной частоты на плис, с минимальными манипуляциями, о которых я выше говорил. С RAM действительно пробовал до 100 Гц, частота меняется примерно линейно(дискретность в 1Гц все равно не получилась), но необходимо 15000 значений и куча адрессного пространства в софте, чтобы заполнить RAM.

Изменено пользователем Anton_NN

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Боюсь, что с той дискретой которая мне требуется мне просто объема памяти не хватит, если я забью все RAMами

 

Прочитайте про DDS!!!

Не не надо вам ни какой памяти. Вам нужен аккумулятор фазы на накапливающем сумматоре и компаратор на половину максимального значения фазы. Частота задётся кодом приращения фазы по формуле: F = Fd*приращение/2^N.

Где Fd тактовая частота, N - разрядность приращения фазы.

Подавая на вход приращения фазы выход счётика можно легко получиить ЛЧМ.

 

http://ra3ggi.qrz.ru/UZLY/dds.htm

http://www.ieee.li/pdf/essay/dds.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не не надо вам ни какой памяти. Вам нужен аккумулятор фазы на накапливающем сумматоре и компаратор на половину максимального значения фазы. Частота задётся кодом приращения фазы по формуле: F = Fd*приращение/2^N.

Где Fd тактовая частота, N - разрядность приращения фазы.

Подавая на вход приращения фазы выход счётика можно легко получиить ЛЧМ.

 

я бы не был столь категоричен насчет памяти. задачу нужно разделить. Если вам требуется логический сигнал частоты, т.е. однобитный выход частота которого равна требуемой, тогда действительно вам не требуется память. Если же генератор выходит на ЦАП и вам нужен синус тогда действительно потребуется память, в которой нужно будет хранить 1/4 периода синуса. Но можно обойтись и без памяти если считать синус на прямую.

 

Для справки я делал 32-х битный DDS с центральной частотой 1МГц и 14 ти битным выходом. На это у меня ушло 13 блочков памяти. Код не дам из принципа, делайте сами.

 

ЗЫ. А не проще ли взять готовый маленький DDS от аналоговых девиц, будет всяко проще чем связываться с плис.

 

ЗЗЫ. Еще хочу напомнить что скважность DDS с цифровым выходом для большинства частот != 50%

 

ЗЗЗЫ. есть журнал от аналоговых девиц, на РУССКОМ языке, где хорошо расписано в частности о DDS. Главы этого журнала можно найти как в сети, так и на этом форуме. Но как я понял поиском вам пользоваться лень.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я не студент - работаю в фирме инж.электрик.

Это хорошо: т.к. Вы не студент, то имеет смысл обсуждать технические вопросы.

 

Передо мной поставлена задача, на базе плис SPARTAN3 организовать генератор переменной частоты.
Руководство поставило задачу реализовать генератор переменной частоты на плис, с минимальными манипуляциями, о которых я выше говорил

1. Генератор чего же именно Вам надо сделать ? ПЛИС устройство цифровое и, соответственно, синус ну никак не родит, поэтому под "генератором частоты" можно подразумевать 2 существенно разные вещи:

a] генератор прямоугольных импульсов.

b] генератор кодов для ЦАП, с выхода которого Вы сможете получить что-то очень близкое к синусоидальным колебаниям заданной частоты. (Пресловутый DDS).

 

Боюсь, что с той дискретой которая мне требуется мне просто объема памяти не хватит, если я забью все RAMами

Да. Тут я прокривоглазился - мне показалось, что Вам необходим шаг 1кГк - т.е. получается всего 15 значений (которые хорошо ложились бы и в DistRAM и в BRAM).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Генератор чего же именно Вам надо сделать ? ПЛИС устройство цифровое и, соответственно, синус ну никак не родит, поэтому под "генератором частоты" можно подразумевать 2 существенно разные вещи:

a] генератор прямоугольных импульсов.

b] генератор кодов для ЦАП, с выхода которого Вы сможете получить что-то очень близкое к синусоидальным колебаниям заданной частоты. (Пресловутый DDS).

 

 

2. Да. Тут я прокривоглазился - мне показалось, что Вам необходим шаг 1кГк - т.е. получается всего 15 значений (которые хорошо ложились бы и в DistRAM и в BRAM).

 

Необходимо организовать генератор прямоугольных импульсов с переменной частотой(1Гц....15кГц, с минимальным шагом в 1Гц), которым из софта, написанного мною на СИ, пользователь будет вводить всего 3 значения:1) начальная частота 2) шаг частоты (ускорение) 3) конечная частота.все. поэтому RAM исключается. по-всякому пробовал результат один - нелинейность изменения частоты!

Изменено пользователем Anton_NN

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Необходимо организовать генератор прямоугольных импульсов с переменной частотой(1Гц....15кГц, с минимальным шагом в 1Гц)

Без погрешностей, это реализовать невозможно. Поэтому поясните, какие именно виды ошибок генерируемых импульсов допустимы:

1. В случае, если после задания частоты импульсы генерируются одинаковыми (от периода к периоду), Какая максимальная допустима погрешность периода импульсов ?

2. Если по какой-то причине нельзя допускать долговременную ошибку частоты, то какая погрешность допустима на "дрожание" фронтов ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Без погрешностей, это реализовать невозможно. Поэтому поясните, какие именно виды ошибок генерируемых импульсов допустимы:

1. В случае, если после задания частоты импульсы генерируются одинаковыми (от периода к периоду), Какая максимальная допустима погрешность периода импульсов ?

2. Если по какой-то причине нельзя допускать долговременную ошибку частоты, то какая погрешность допустима на "дрожание" фронтов ?

 

Я понимаю что без погрешностей не реализовать. ТЗ составлено таким образом, что виды допустимых ошибок генерируемых импульсов не указаны, работа идет на результат, на первом этапе допускается и дрожание фронтов и погрешность периода, но чем меньше они будут тем лучше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Необходимо организовать генератор прямоугольных импульсов с переменной частотой(1Гц....15кГц, с минимальным шагом в 1Гц), которым из софта, написанного мною на СИ, пользователь будет вводить всего 3 значения:1) начальная частота 2) шаг частоты (ускорение) 3) конечная частота.все. поэтому RAM исключается. по-всякому пробовал результат один - нелинейность изменения частоты!

Есть версия, что этот "генератор" должен быть использован в системе создания импульсов для управления силовыми ключами некоего двигателя. Так ?

Если оно так, то есть ли предельное значение погрешности ускорения, и нормировано ли отклонение реального ускорения от заданного ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я понимаю что без погрешностей не реализовать. ТЗ составлено таким образом, что виды допустимых ошибок генерируемых импульсов не указаны, работа идет на результат, на первом этапе допускается и дрожание фронтов и погрешность периода, но чем меньше они будут тем лучше.

Если у вас не получается в ПЛИС сделать DDS с компаратором, то можно воспользоватся

готовым решение от АналоговыхДевиц:

 

http://www.analog.com/en/rfif-components/d...ts/product.html

 

там есть встроенный компаратор и в даташите на Figure 2. приведена схема включения для генерации прямоугольных импульсов.

 

Если скорость перестройки частоты небольшая то для управления достаточно будет прикрутить какой нибудь простенький микроконтроллер с USB и не нужно ни какой ПЛИС.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть версия, что этот "генератор" должен быть использован в системе создания импульсов для управления силовыми ключами некоего двигателя. Так ?

Если оно так, то есть ли предельное значение погрешности ускорения, и нормировано ли отклонение реального ускорения от заданного ?

 

В принципе так. Что означает "погрешность ускорения" ? Ведь в софте задается двоичный код, а не значение скорости или ускорения в м/с. Я в самом начале вложил файл в версии сапр webpack ise8.2i, если посмотреть. В нем проект реализации генератора как на ускорение так и на замедление частоты импульсов, но есть проблема-в нем линейно меняется не частота а период! теоретически я понимаю как должно быть но вот в схематике никак не получается, может что то не так делаю? может просто поправить немного надо? не знаю....всю голову сломал....

 

Если у вас не получается в ПЛИС сделать DDS с компаратором, то можно воспользоватся

готовым решение от АналоговыхДевиц:

 

http://www.analog.com/en/rfif-components/d...ts/product.html

 

там есть встроенный компаратор и в даташите на Figure 2. приведена схема включения для генерации прямоугольных импульсов.

 

Если скорость перестройки частоты небольшая то для управления достаточно будет прикрутить какой нибудь простенький микроконтроллер с USB и не нужно ни какой ПЛИС.

 

генератор импульсов используется во многих платах на плис как у альтеры так и у ксалинкса без всяких внешних дивайсов, по этому должно получиться. Может это уже кто то делал и сам?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В принципе так. Что означает "погрешность ускорения" ? Ведь в софте задается двоичный код, а не значение скорости или ускорения в м/с.

Soft - это вторично сначала физическая задача. Т.е. если Вы можете игнорировать динамические свойства двигателя и динамические свойства нагрузки на этот двигатель (т.е. работать с объектом управления без обратных связей !), то задача существенно упрощается. (пока что я встречался только с такими система управления, в которых игнорирование динамических свойств приводов/нагрузки - преступно). Ну если Вы можете позволить себе это проигнорировать, тогда пожалуйста, вот Вам несложное решение:

 

1. Делаете машину состояния, которая имеет всего 2 основных состояния: поддержание постоянной скорости и ускорение.

2. В состоянии "поддержание постоянной скорости" Вы либо ничего не делаете (записываете в управляемый делитель из раза в раз один и тот же код), либо как-то по измеренному иглу поворота компенсируете неточности задания скорости (как например работают ФАПЧ: подстраивают частоту измеряя фазу).

3. Для работы с состоянии "ускорение", Вам понадобится задавать 3 величины: период изменения коэффициентов для делителя частоты, "шаг изменения скорости" и количество периодов изменения коэффициентов для делителя частоты.

4. Работа в состоянии "ускорение":

a] записать в регистр DIV_K_LOAD коэффициент, который будет некоторое время загружаться, как стартовой для управляемого делителя частоты.

b] начать отсчет периода изменения скорости.

c] пока идет периода изменения скорости, Вам необходимо подготовить новое значение коэффициента для регистра DIV_K_LOAD.

d] по прошествии заданного количества периодов изменения коэффициентов для делителя частоты (периодов ускорения), перейти в состояние поддержание постоянной скорости (возможно с записью не вычисленного, а заранее заданного коэффициента для делителя частоты - так, возможно, будет точнее).

 

Теперь поподробнее о вычислении коэффициента для делителя:

У Вас система ооочень медленная, как и большинство систем управления - предельная частота всего-то 15кГц... Даже если учесть, что Ваш делитель управляемый частоты должен давать частоту в 3-6 раз большую, чем выходные 3 фазные импульсы, то всё равно получается очень большой период (по меркам ПЛИС), за который Вам необходимо вычислить новый коэффициент. Поэтому можно пользоваться компактным барабанным делителем.

 

Ваш искомый коэффициент (назовём его DIV_K, разрядности n), можно достаточно просто найти:

 

DIV_K = (2^n) - Alfa / (Cur_Freq + Delta_Freq)

 

Delta_Freq - "щаг изменения скорости".

Cur_Freq - текушая "скорость".

Alfa - конструктивная константа Вашей системы.

 

Если Ваш загружаемый счетчик во время загрузки не инкрементирует входные данные, то: DIV_K = (2^n - 1) - Alfa / (Cur_Freq + Delta_Freq)

 

Это если Вам надо быстро и в первом приближении заставить двигатель шевелиться. А если всё делать надежно, то необходимо работать с обратными связями и использовать наработки Теории Автоматического Управления, для создания регуляторов. Можно воспользоваться и синергетической теорией управления – тогда результаты могут получиться лучше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кхм-хм.

Извините, коли не в тему, но имею одно предложение.

Так как, для пользователя окончание вашей системы - ваша программа, то почему бы функцию пересчета частоты в коэффициент счета не переложить на нее ? Пользователь никакой разницы не заметит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Делаете машину состояния, которая имеет всего 2 основных состояния: поддержание постоянной скорости и ускорение.

2. В состоянии "поддержание постоянной скорости" Вы либо ничего не делаете (записываете в управляемый делитель из раза в раз один и тот же код), либо как-то по измеренному иглу поворота компенсируете неточности задания скорости (как например работают ФАПЧ: подстраивают частоту измеряя фазу).

3. Для работы с состоянии "ускорение", Вам понадобится задавать 3 величины: период изменения коэффициентов для делителя частоты, "шаг изменения скорости" и количество периодов изменения коэффициентов для делителя частоты.

4. Работа в состоянии "ускорение":

a] записать в регистр DIV_K_LOAD коэффициент, который будет некоторое время загружаться, как стартовой для управляемого делителя частоты.

b] начать отсчет периода изменения скорости.

c] пока идет периода изменения скорости, Вам необходимо подготовить новое значение коэффициента для регистра DIV_K_LOAD.

d] по прошествии заданного количества периодов изменения коэффициентов для делителя частоты (периодов ускорения), перейти в состояние поддержание постоянной скорости (возможно с записью не вычисленного, а заранее заданного коэффициента для делителя частоты - так, возможно, будет точнее).

 

В принципе у меня это и организовано было в проекте: есть аккумулятор один аргумент которого - код начальной частоты, второй аргумент - код приращения("шаг изменения скорости"), выход аккумулятора идет на один из входов компаратора и на вход счетчик с паралельной загрузки. Компаратор сравнивает текущий код частоты с максимально заданным и когда эти значения сравниваются поступает запрет в аккумулятор на разрешение счета. В счетчик с паралеьной загрузкой при его переполнении поступает новое значение с аккумулятора.==> всего 3 значения из софта. Вроде все работает, частоту const держит, движок бегает, НО скорость в двигателе нарастает не линейно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...НО скорость в двигателе нарастает не линейно.

Так это потому, что необходимо подругому считать коэфициенты в состоянии "ускорения" (например, как я и указал Ваше - при помощи делителя).

 

Но лучше сделайте нормальный регулятор, который будет работать с обратными связями - тогда Вы получите минимальные погрешности и максимальную надежность работы системы. Если Вы воспользуетесь регуляторами полученными при использовании процедуры АКАР, то сможете задавать ряд желаемых аттракторов/репеллеров в системе, что придаст Вашей системе необходимые именно Вам свойства. Единственное с чем надо быть очень аккуратным, так это с параметрической чувствительностью регуляторов (любых регуляторов: и старых «классических», и свеженьких – полученных с помощью АКАР).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...