Перейти к содержанию
    

Посмотрел в обсчем я ваш код - стэйт машины там у вас страшные (RTL в 1 лист блин не вмещается :(, хотя задача не такая и сложная), я бы по другому немного реализовал а то латчи вставляет вам там, ну да ладно.

Итак - чем не подходит вариант

OUT2(1)<='0';
OUT2(2)<='0';

??

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Со стэйт машинами я тут долго мудрил...вот нашёл в квартусе уже готовую часть нечто похожего на то, что мне нужно...покопался в коде и взял их оттуда..вот..

 

Вариант с OUT(1) - подошёл. Спасибо большое за подсказку! А вот там, где OUT(2)<=CNF_RD, при замене на '0' летит диаграмма вывода данных на AD1 при попадании на процедуру CONF_READ, хотя все управляющие сигналы DEVSELn, TRDYn, STOPn и PAR выставляются правильно...

 

И ещё при конфигурировании, то есть CNF_WR='1' засчёлкивается адрес, присвоенный моему устройству, в ADR. Он пишется правильно и храниться до конца работы. С этим адресом нужно сравнивать приходящий на AD1 код, что и делается в строчке:

 

RD_TX <= '0' when (FRAMEn='0' and AD1=ADR) else '1';

 

так вот, если писать так, то при сравнении AD1 и ADR, все сигналы становятся неопределёнными...а если задать адрес в переменную, то всё работает:

 

RD_TX <= '0' when (FRAMEn='0' and AD1=CNF(4)) else '1';

Изменено пользователем hynter

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hynter - ступил малёхо, вы

CNF_RD

Заюзываете в процессе раздачи тасков

--**************************
--*STATE_mashine_controller*
--**************************

process(NOT_WE,CNF_WR,CNF_RD,DONE,OTHER_CMD)
begin    
  case STAT is       
       when IDLE => 
          if    (NOT_WE='0') then  if CBEn="0010" then nxt_stat<=S_DATA; 
                              else nxt_stat<=BACKOFF; end if;
!!!       elsif (CNF_RD='1')     then nxt_stat<=CONF_RD;
          elsif (CNF_WR='1')     then nxt_stat<=CONF_WR;

И есчё вот тут

--Сохранение адреса читаемого регистра в пространстве конфигурации
Process(CNF_RD)  
begin
  if (CNF_RD'event and CNF_RD='1') then ADDR <= AD1(7 downto 2); end if;  
end process;

Ототго видно и валится. Не ненужный это сигнал оказался(ADDR кстати в вашей процедуре в стэйт-машине как раз присутствует)..

Так что наверно следут оставить его в покое...

Внимательней надо быть, этож ваш код..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я и не говорил, что CHF_RD не нужен! Как раз-таки очень нужный это сигнал.... Я сказал, что выводить его на OUT2(2) нет необходимости...я когда отлаживал - выводил и смотрел его состояние.....а когда всё заработало - я его (этот вывод) удалил и всё полетело...вот в чём вопрос....

Ну, впринципе, да и ладно бы с ним...пускай выводится...просто не буду назначать эти пины на саму ПЛИСину...

 

А вот ADDR я и не трогаю! С ним вообще всё отлично...сохраняется...распознаётся..выводится куда надо...засада в следующей засчёлке:

 

--Сохранение адреса устройства в регистр адреса в пространстве конфигурации

Process(CNF_WR)

begin

if (CNF_WR'event and CNF_WR='0') then ADR:= AD1(31 downto 2)&"01" ; end if;

end process;

 

вот с этим ADR-ом упорно не хочет корректно сравниваться...

Изменено пользователем hynter

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hynter - меня тут смущают огромное кол-во shared variablе..

Хотя я тоже в замешательстве почему так...

 

Было б меньше листов RTL-я можно былоб посмотреть - тогда точно б стало всё ясно, а так...

В принципе я бы реализовал через муксы AD и там защёлок не нужно было б на выходе, хотя я так глядел - есть там муксы вроде..

Короче советую углубиться в изучение RTL и избавиться от shared variable - signal`ами позменять посмотреть..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hynter - показываю:

post-25329-1216382883_thumb.jpg

RTL - это сокращение от register transfer level - ну типа как в MaxPlusII вы оперируете элементарными компонентами.

Memory Mapped - это как оно будет реализовано в FPGA.

Chip Planner - это как будет ложиться в FPGA - именно в ваш кристал..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Понял почему такая фигня происходит! У меня ПЛИС EPM3256ATI144-10...она слишком медленная оказалась...если запустить на другой, со скоростью -5, то всё работате....беда только в том, что я никак не могу найти такую ПЛИС, да чтобы ешё и Индастриал была.....что делать...ума ни приложу....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как какая....всё та же: перестаёт работать, если удалить вывод OUT2(2)<=CNF_RD, все сигналы становятся неопределёнными, при сравнивании данных на AD1 и того, что помещено в ADR...если взять другую плисину, ну, например, EPM7256AEFC256-5, то всё работает отлично и никаких глюков не происходит...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hynter - хм... есчё один прикол с цплд - там расказывали что лапы разводиь как хочеш нельзя...

 

EPM3ххх - это мах3000 - там по моему мемори маппед вроде и не посмотреть (чип планнер тоже) - так что как оно так хитро лягло в камне видимо останется загадкой....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Понял почему такая фигня происходит! ...

 

А нет...шутка...всё равно не работает...правда теперь в другом месте...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hynter - мдя...

в обсчем советую заюзать моделсим или в квартусе поотводить вайры в ключевых местах - тут по ходу надо вникнуть в суть дела - кстати, если всё и так работает а сроки горят , то вы можете просто эти вайры не заюзывать, хотя там косяки могут в другом каком нить месте вылезти... Не совсем наш метод :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...