Перейти к содержанию
    

помогите с выбором ПЛИС

а почему против WoodmanUSB? какие с ним проблемы?
Почему против? Я лишь уточнил на основе чего сделан этот "неизвестный науке зверь", потому что на сайте никакой документации не нашел, а вы сами не можете ничего вразумительного сказать об электрических характеристиках интерфейса этого модуля. Будем пытку партизана продолжать или где? ;) Что там с 64-х разрядной шиной-то?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а вы сами не можете ничего вразумительного сказать

 

вот подучусь и буду говорить вразумительно! :)

по поводу шины - это шина законченного устройства. в усройстве идёт постоянный опрос шины на наличие входных данных, т е , если на регистрах , подключенных к шине появляются данные - устройство считывает их и , преобразовав, возвращает обратно на выход шины, откуда они могут быть считаны. Данные через устройство проходят транзитом, 64 разряда пришло, преобразовалось и ушло!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в усройстве идёт постоянный опрос шины на наличие входных данных, т е , если на регистрах , подключенных к шине появляются данные
Опять непонятно. Что значит "появляются данные"? Какой-то сигнал индицирует, что данные появились? Или смена состояния сигнала служит признаком "появления" данных?

устройство считывает их и , преобразовав, возвращает обратно на выход шины, откуда они могут быть считаны.
Стоп! А где на этой шине вход и где выход? Или шина двунаправленная? Тогда какой сигнал управляет ее направлением?

Ну и самое главное, о чем уже вторые сутки пытаюсь узнать: какие по величине (напряжение какое?) электрические сигналы присутствуют на этой "64-х разрядной шине"? В пределах 5В или выше? 3,3В-ые FPGA и CPLD обычно толерантны к 5В входным сигналам. А вот с выходами нужно смотреть внимательно. Вы хоть прозрачно намекните, что за шина-то? И что к ней подключается? Или вообще, что за устройство вы изобретаете?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

( Устройство условно назавём "преобразователь данных" , т.е. в данном случае прослеживается тема защиты информации:))

Шина не двунаправленная - на ней есть вход и есть выход!

Признаком "появления" данных служит сигнал , подаваемый устройством сопряжения ,я так понимаю - непосредственно ПЛИС и будет подавать этот сигнал. По сигналу "преобразователь данных" считывает данные , далее данные преобразовываются и тутже поступают на выход шины.

Сигналы на шине в пределах 5В . Питание "преобразователя данных" от внешнего источника, т.е. либо от ПЛИС либо от модуля USB, следовательно уровни сигналов на ПЛИС и "преобразователе данных" будут одинаковы.

Изменено пользователем Сергей s7

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Просто возьмите и реализуйте вашу логику на hdl. После этого вы увидите какую ПЛИС вам нужно брать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это конечно дельный совет , но есть как всегда одно но!

Это но -мой уровень! Я так скажем - начинающий !

Проект, который я рассматриваю нужно реаоизовать быстро, т е в ближайшую пару дней, а знаний у меня честно говоря недостаточно!

Если кто поможет с реализацией - буду очень благодарен!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сигналы на шине в пределах 5В . Питание "преобразователя данных" от внешнего источника, т.е. либо от ПЛИС либо от модуля USB, следовательно уровни сигналов на ПЛИС и "преобразователе данных" будут одинаковы.
Вы никак не можете осознать важность согласования уровней электрических сигналов. Посему берите какую-нибудь старинную CPLD (XC9500 от Xilinx или MAX7000S от Altera) ну или FPGA с питанием и можете играться в своих партизанов дальше. Только вот тип конкретной ПЛИС можно будет выбрать уже после того, как будет понятен размер прошивки. Т.е. вам нужно сначала создать проект и уже после компиляции выбрать ПЛИС с необходимым количеством пинов и макроячеек или LUTов.

Кстати, а это не ваш ли коллега по "несчастью"? ;) Может имеет смысл с ним скооперироваться?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кстати, а это не ваш ли коллега по "несчастью"? ;) Может имеет смысл с ним скооперироваться?

Что-то общего у нас конечно есть - у меня время тоже жмёт, но поекты то совсем разные!

мне лишь необходимо произвести преобразование 8 битной посылки в 64 битную и всё!

может кто поможет реализовать! Если дело в материальных средствах - договоримся!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

( Устройство условно назавём "преобразователь данных" , т.е. в данном случае прослеживается тема защиты информации :) )

Шина не двунаправленная - на ней есть вход и есть выход!

Признаком "появления" данных служит сигнал , подаваемый устройством сопряжения ,я так понимаю - непосредственно ПЛИС и будет подавать этот сигнал. По сигналу "преобразователь данных" считывает данные , далее данные преобразовываются и тутже поступают на выход шины.

Сигналы на шине в пределах 5В . Питание "преобразователя данных" от внешнего источника, т.е. либо от ПЛИС либо от модуля USB, следовательно уровни сигналов на ПЛИС и "преобразователе данных" будут одинаковы.

Угу, сухой остаток - шина данных разделенная 2х64 бит, стробы записи и чтения - так?

 

Плюс еще с десяток ног для 8ми битной шины, итого около 150 ног. Вырисовывается некий монстр в BGA корпусе :wacko: Ишите девайс подходящий по количеству ног и напряжениям, по ресурсам он похоже подойдет автоматически :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

итого около 150 ног. Вырисовывается некий монстр в BGA корпусе

не обязательно BGA, QFP 208, например

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что-то общего у нас конечно есть - у меня время тоже жмёт, но поекты то совсем разные!

мне лишь необходимо произвести преобразование 8 битной посылки в 64 битную и всё!

может кто поможет реализовать! Если дело в материальных средствах - договоримся!

 

В вопросе содержался намёк на то, что "преобразователь 64 бит в 64 бита", а проще "блочный шифратор" B) может быть проще реализовать внутри ПЛИСины,а не занимать ее вопросами согласования с этим "преобразователем". :) :cranky:

 

З.Ы. Ключ-то тоже через эту же шину загружать будете? :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не обязательно BGA, QFP 208, например
То, что у корпуса 208 ног не означает, что к нему можно подключить 208 пользовательских вывода :( Например, Spartan IIIE PQ208 - 158 user I/O, может и не влезть (автор добавит еще ног 10 о которых забыл сказать сразу - и привет :cranky: )

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В вопросе содержался намёк на то, что "преобразователь 64 бит в 64 бита", а проще "блочный шифратор" B) может быть проще реализовать внутри ПЛИСины,а не занимать ее вопросами согласования с этим "преобразователем". :) :cranky:

 

З.Ы. Ключ-то тоже через эту же шину загружать будете? :)

Что по поводу проще - наверное нет! А по поводу ключа- стандартный алгоритм уже прошит он универсальный

прогнали данные один раз - данные преобразовались

прогнали второй - данные преобрели исходный вид!:)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...