Перейти к содержанию
    

Проблемы с State Diagram в FPGA Advantage 7.2

Перешел с FPGA Advantage 7.0 на FPGA Advantage 7.2 и обнаружил проблему. В одном модуле я нередко использовал несколько параллельных независимысх стейт машин (State Diagram) состоящие из трех блоков и которые графически располагались в разных Embeded Bloсk. В 7.0 все было наормально. Он понимал, что это разные машины и при компиляции назначал соответствующим блокам и процессам машины префиксы. В 7.2 компиляция выдает ошибку, что есть одноименные процессы. Оказалось, что 7.2 не дает префиксы процессу, расположенному в одном из блоков машины. А так как Embeded Bloсk - это всешго лишь графическое разделение оного листинга (для удобства), то на процесс второй машины и выдается такое сообщение. В хелпе я прочитал, что можно делать параллельные стейт машины в одном Embeded Bloсk. А в разных, что, в 7.2 теперь нельзя, он этого не понимает? Или это какой-то баг?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как я понимаю, никто с такой проблемой не сталкивался. Тогда,может, кто подскажет где взять Mentor Graphics HDL Designer Series 2007.1? Дайте pltcm ссылку или можно скинуть на мыло [email protected]

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подобные проблемы периодически возникают при выходе очередной версии HDL Designer. Выход - либо откатываться на предыдущие версии, либо, если уже вышла, на более позднюю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подобные проблемы периодически возникают при выходе очередной версии HDL Designer. Выход - либо откатываться на предыдущие версии, либо, если уже вышла, на более позднюю.

 

Дорогой skv,

 

Если возможно поделис пожалуйста FPGA Advantage 7.2 или хотабе FPGA Advantage 7.0 .

 

Заранее благодарю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...