Перейти к содержанию
    

симуляция в Modelsim

Подскажите.. есть ли возможность просимулировать уже синтезированный проект с задержками в Modelsim ? если да , то как ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите.. есть ли возможность просимулировать уже синтезированный проект с задержками в Modelsim ? если да , то как ?

синтезированный с задержками не бывает.

бывает имплементированный(после того как фиттер засунет в плис) с задержками. а для этого нужно взять sdf файл и сунуть его моделсиму вместе с нетлистом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

если вы имеете ввиду можно ли извлечь для моделирования какую-нибудь информацию из файла конфигурации ПЛИС не имея более ничего - то нет. если вы спрашиваете как произвести временную верефикацию - то да. подробная инструкция как это делается на форум выкладывалась. пользуйтесь поиском по форуму.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Собственно инструкция находится в разделе 17 - Standard Delay Format (SDF) Timing Annotation мануала к Modelsim.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите.. есть ли возможность просимулировать уже синтезированный проект с задержками в Modelsim ? если да , то как ?

Видимо ты хочешь промоделировать проект после синтеза дабы проверить осталась ли его функциональность такой же как на функциональном уровне тогда нет ничего проще подцепаешь в моделсиме библиотеки в которых проводился синтез и все у тебя будет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Собственно инструкция находится в разделе 17 - Standard Delay Format (SDF) Timing Annotation мануала к Modelsim.

подцепить сдф к модели в моделсиме очень просто - менторовская инструкция описывает процесс только со стороны моделсима. инструкция, упомянутая мной, описывала путь целиком (кросплатформенный) от генерации сдф и библиотек примитивов в P&R (правда только для Ксайлинковского ISE) до подключения библиотек и сдф в моделсиме.

ЗЫ: кстати с некоторых пор этот раздел в менторовском юзер-гайде стал числится 23-им.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

подцепить сдф к модели в моделсиме очень просто - менторовская инструкция описывает процесс только со стороны моделсима. инструкция, упомянутая мной, описывала путь целиком

Благодарю... разобрался...

 

Я так понимаю именно об этой инструкции идет речь...

Creating Time Model for Simulation and Verification in Mentor Graphics ModelSim simulation environment using Xilinx ISE development tools.

Creating post-place&route time model:
1. In ISE Project Navigator create a new project (File->New Project) with specification of the target device.
2. Attach behavioural description of synthesizable module (.vhd file) to the project (Project->Add Source).
3. Create post-place&rout model. In Process View window: Implement Design->Place&Route (double-click) (Place&Route tool will create .ncd file containing place&route information).
4. Create Library of Xilinx primitives for compilation in ModelSim. For this in Module View window select Target device item; in process view window open Design Entry Utilities ->Compile HDL Simulation Libraries, right-click it, left-click properties, set properties and choose SIMPRIM Simulation library only; double-click Compile HDL Simulation Libraries item, as a result additionally modelsim.ini file will be created for automation of project simulation in ModelSim.
5. Create time model of the project as a back-annotation from .ncd file. For this in Module View Window select the top module .vhd file; in Process View window Implement Design->Place&Route->Generate Pst-Place&Route Simulation Model (double-click) ( project_name_timesim.vhd containing structural project description and project_name_timesim.sdf containing time delay information will be created).

Simulation:
6. For simulation open ModelSim.
7. Set you project directory current (File->Change Directory…)
8. Create work library (vlib work).
9. Compile project structural description file (vcom project_name _timesim.vhd).
10. Bind compiled module with .sdf file (Simulate->Simulate->SDF).
11. Ready for simulation.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я так понимаю именно об этой инструкции идет речь...

типа того Ж)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...