Перейти к содержанию
    

41 minutes ago, des00 said:

скормить верилог нетлист + sdf

и он автоматически поймет, что я его хочу в gate level?
я думал может какой параметр надо в командной строке или в tcl еще прописать

44 minutes ago, des00 said:

Глава SDF timing anotation user manual

примерно понял, спасибо

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

33 minutes ago, new123 said:

и он автоматически поймет, что я его хочу в gate level?
я думал может какой параметр надо в командной строке или в tcl еще прописать

ну прописать то надо, скормить ему sdf в нужной версии, а вот временной нетлист должен софт выдать, как и sdf. Ну и технологические библиотеки нужно будет тоже скомпилировать

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Доброго дня!  

Для работы с Cyclone10 GX установил Quartus 20.4 Prime Pro + Modelsim Intel FPGA Edition 2020.3. 
И не могу разобраться как запустить ModelSim из Quartus.  Привычный путь с указанием тестбенча в свойствах проекта в версии Pro отсутствует. 
Библиотеки для Cyclone10GX скомпилировал через Tools-> Launch Simulation Lib Compiler. 
Сделал тестовый проект с 8-битным счетчиком и не понимаю, как сделать его gate-level simulation :)
Нагуглить толковый гайд по этому делу не получилось. 

Подскажите, плиз, куда дальше двигаться чтоб проект отсимулировать на gate-level. 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Quote

И не могу разобраться как запустить ModelSim из Quartus.

в PRO никак. Сейчас скину ссылку, как надо делать

 

upd. Вот подробный мануал, проверено, все работает.
https://www.intel.com/content/www/us/en/programmable/documentation/yur1496247032051.html

Изменено пользователем new123

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, new123 said:

Сейчас скину ссылку, как надо делать

Спасибо! Буду изучать. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 5/31/2021 at 2:42 PM, soldat_shveyk said:

Спасибо! Буду изучать. 

вроде бы даже в этой теме я даже задавал вопросы по этому топику (из разряда, как бы мне быстро перечислить все свои sv файлы проекта), если что, спрашивайте, подскажу по пунктам, как этот do накидать

Изменено пользователем new123

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Продолжаю воевать с ModelSim. 
При моделировании выдает ошибку - не находит библиотеку:
 

# Loading work.tb
# Loading work.PLL_RAM
# Loading work.ClockPLL
# ** Error: (vsim-3033) Instantiation of 'twentynm_iopll' failed. The design unit was not found.
#    Time: 0 ps  Iteration: 0  Instance: /tb/Test1/clock_module File: ../ClockPLL/ClockPLL.v Line: 63
#         Searched libraries:
#             C:/_work/Quartus_PRO_PLL_RAM/mentor/libraries/work
#             C:/_work/Quartus_PRO_PLL_RAM/mentor/libraries/work
#             C:/_work/ModelsimLib/verilog_libs/altera_ver
#             C:/_work/ModelsimLib/verilog_libs/lpm_ver
#             C:/_work/ModelsimLib/verilog_libs/sgate_ver
#             C:/_work/ModelsimLib/verilog_libs/altera_mf_ver
#             C:/_work/ModelsimLib/verilog_libs/altera_lnsim_ver
#             C:/_work/ModelsimLib/verilog_libs/cyclone10gx_ver
#             C:/_work/ModelsimLib/verilog_libs/cyclone10gx_hssi_ver
#             C:/_work/ModelsimLib/verilog_libs/cyclone10gx_hip_ver
#             C:/_work/Quartus_PRO_PLL_RAM/mentor/libraries/lpm_counter_191
#             C:/_work/Quartus_PRO_PLL_RAM/mentor/libraries/UP_COUNTER_IP
#             C:/_work/Quartus_PRO_PLL_RAM/mentor/libraries/DOWN_COUNTER_IP
#             C:/_work/Quartus_PRO_PLL_RAM/mentor/libraries/altera_iopll_1931
#             C:/_work/Quartus_PRO_PLL_RAM/mentor/libraries/ClockPLL
#             C:/_work/Quartus_PRO_PLL_RAM/mentor/libraries/ram_2port_2020
#             C:/_work/Quartus_PRO_PLL_RAM/mentor/libraries/RAMhub

Библиотеки для Cyclone10GX я скомпилировал и добавил в файл <проект>\mentor\modelsim.ini
Библиотеки от Альтеры, которые установились вместе с ModelSim, я тоже прописал в этом же файле:

twentynm_ver = C:/intelFPGA_pro/20.4/modelsim_ae/altera/verilog/twentynm
twentynm_hssi_ver = C:/intelFPGA_pro/20.4/modelsim_ae/altera/verilog/twentynm_hssi
twentynm_hip_ver = C:/intelFPGA_pro/20.4/modelsim_ae/altera/verilog/twentynm_hip

Что еще может не хватать? 
 

Возможно, проблема еще в том, что я сейчас работаю в тестовом проекте https://www.intel.com/content/www/us/en/programmable/documentation/yur1496247032051.html 

Который изначально был под Aria10, а его поменял на Cyclone10GX. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 minutes ago, soldat_shveyk said:

При моделировании выдает ошибку - не находит библиотеку:

Я бы руками ничего не добавлял, как вы прописываете. 
1) Запустил бы компилятор библиотек. Tools/Launch Simulation Library Compiler
2) Скомпилил бы все свои ip ядра с возможностью симуляции
3) Запустил бы генератор скрипта. Tools/Generate Simulator Setup Script for IP

ну а дальше по моей ссылке. Выдрать кусок из этого скрипта, прописать свои файла проекта (v, sv..) ну и на запуск,

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

4 hours ago, soldat_shveyk said:

Что еще может не хватать? 

Может стоит поискать в какой  библиотеке находится twentynm_iopll компонент. Ну и маппинг в modelsim.ini это еще не достаточное условие для Verilog библиотек. Нужно еще и ключом -L указывать vsim  -L lib_name ... чтобы  эта библиотека использовалась для поиска компонентов. 

 

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 hours ago, new123 said:

ну а дальше по моей ссылке. Выдрать кусок из этого скрипта, прописать свои файла проекта

Все проделал заново. Скомпилировал библиотеки, и указал к ним путь в файле <проект>/mentor/modelsim.ini:

others = C:/_work/ModelsimLib/modelsim.ini

Также в файле ini библиотек указал путь к общим библиотекам, которые установились вместе с ModelSim:

others = C:/intelFPGA_pro/20.4/modelsim_ae/modelsim.ini

Сгенерировал скрипт симулятора в Quartus, указал там все файлы проекта по мануалу. 

Не помогает, ModelSim выдает все ту же ошибку, что библиотека  не найдена:

# ** Error: (vsim-3033) Instantiation of 'twentynm_iopll' failed. The design unit was not found.

 

 

20 hours ago, RobFPGA said:

Может стоит поискать в какой  библиотеке находится twentynm_iopll компонент.

В файле C:\intelFPGA_pro\20.4\modelsim_ae\modelsim.ini есть вот такие строки:
twentynm_ver = $MODEL_TECH/../altera/verilog/twentynm
twentynm_hssi_ver = $MODEL_TECH/../altera/verilog/twentynm_hssi
twentynm_hip_ver = $MODEL_TECH/../altera/verilog/twentynm_hip

Переменную среды в Win10 я создал: MODEL_TECH = C:\intelFPGA_pro\20.4\modelsim_ae\win32aloem

Про ключ -L не понял. Это в каком файле надо искать?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

54 minutes ago, soldat_shveyk said:

и указал к ним путь в файле <проект>/mentor/modelsim.ini:

others = C:/_work/ModelsimLib/modelsim.ini

Также в файле ini библиотек указал путь к общим библиотекам, которые установились вместе с ModelSim:

others = C:/intelFPGA_pro/20.4/modelsim_ae/modelsim.ini

не совсем понял, зачем это делать, если он сам генерит msim_setup и там все прописывает. Я ничего такого не делаю. Правда у меня Linux, но дело наверное не в этом.

покажите, как вы стартуете симулятор. 

Изменено пользователем new123

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 minutes ago, new123 said:

не совсем понял, зачем это делать

Я просто не могу понять - видит он все нужные библиотеки или нет. Поэтому и прописал руками для надежности. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

45 minutes ago, soldat_shveyk said:

Про ключ -L не понял. Это в каком файле надо искать?

Если почитать доки на Modelsim ну или в нем же ввести волшебную фразу:   "крекс, фекс, пе.." "vsim  -help"  то  присмотревшись можно увидеть описание  ключа -L
-L <libname>  Search library for design units instantiated from Verilog and for VHDL default component binding 

Смысл маппинга библиотек в modelsim.ini  лишь в том  чтобы   указать  какому имени библиотеки соответствует  физ. расположение на  диске.  А   вот какие имена библиотек  использовать  для  конкретного запуска vsim  надо указывать отдельно советующими ключами или  непосредственно в коде.   Я же привел кусок команды    

21 hours ago, RobFPGA said:

vsim  -L lib_name -L othe_lib_name ...

Ну и посмотрите (или приведите тут) формат полной команды  запуска  vsim из лога. 

 

Удачи! Rob. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

33 minutes ago, RobFPGA said:

Ну и посмотрите (или приведите тут) формат полной команды  запуска  vsim из лога. 

Вот команда:


vsim -L work -L work_lib -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclone10gx_ver -L cyclone10gx_hssi_ver -L cyclone10gx_hip_ver -L lpm_counter_191 -L UP_COUNTER_IP -L DOWN_COUNTER_IP -L altera_iopll_1931 -L ClockPLL -L ram_2port_2020 -L RAMhub tb 

 

Действительно, никакой библиотеки twentynm здесь не видно. 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

1 minute ago, soldat_shveyk said:

vsim -L work -L work_lib -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver ....

Ну  вот,  тут как раз и перечислены  либы  в которых ищутся  инстансы при запуске сима. Сравните со списком  в выводе ошибки :rtfm: 
Хотите  добавить  другие либы - включите их в команду аналогично через -L ...,  или  через  файл  опций -f / -F ...,  или через predefined  -optionset  в modelsim.ini.  

 

Удачи! Rob. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...