Перейти к содержанию
    

Не моделируется PLL в ModelSim

Коллеги, делюсь новостью: всё получилось! Однако есть НО. Изначально при создании PLL через IP core ALTPLL при выборе языка, на котором будет она будет сгенерирована, я выбирал Verilog. Однако у меня закралось сомнение, что при завершении создания PLL, в закладке EDA, было сказано, что Simulation Library будет использоваться  altera_mf, хотя эта библиотека используется для VHDL кода. При симуляции в ModelSim на автомате подгружалась библиотека altera_mf_ver. И ничего не работало. Но стоило при создании PLL через IP core указать язык VHDL, то в ModelSim подгрузилась altera_mf и всё заработало! Казалось бы, стоило быть внимательнее, но удивляет, почему данный момент не был отловлен ранее. 

Благодарю всех за поиск решения проблемы!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...