Перейти к содержанию
    

ncsim _иногда_ неправильно линкует модули, не могу побороть

Есть некий дурной вендор, который использует одно и то же имя для разных подмодулей. Verilog, не SV.

Приходится компилить каждый модуль в отдельную библиотеку, то есть имеется некий verilog конфиг / файл мапирования.
причем иерархия имеет VHDL прослойки, то есть в пути встречается разделитель иерархии :, а не все через .

я не смог использовать "instance" в этом конфиге - либо ругается на синтаксис, либо не находит.

config tb_me158_chip;
  design worklib.tb_me158_chip;
  default liblist worklib memlib mrxlib ambalib techcells me158lib baremem bus_safety canlib cmsdklib comsyslib cortexlib dmalib flinlib gcells gtm me158lib memlib memutils sentlib techcells coresightlib deblib flashlib;
    
  cell pfm_340gf34kx278_v1a3  liblist pfm_340gf34kx278;
  cell pfm_340gf16kx76_v1a4   liblist pfm_340gf16kx76;
endconfig

Смотрю xmls - все хорошо - в каждой библиотеке "правильный" субмодуль, лишнего нет.

Но получаю ИНОГДА 

Resolved design unit 'main_sel' at 'tb_me158_chip.I_th@th_me158_chip<module>.I_me158_chip@me158_chip<module>.I_me158_dig_top@me158_dig_top<module>.I_sst_340gf_macros_wr@sst_340gf_macros_wr<module>.sst_340gf_macros_i@sst_340gf_macros(rtl):cflash_nvm_container_i1@nvm_container_me158_dataflash<module>.U_pfm_340gf16kx76_v1a4_0@pfm_340gf16kx76_v1a4<module>' to 'pfm_340gf34kx278.main_sel:v'.
main_sel (main_sel,chpers,lck_cfg,nvr_cfg_en,nvr_en,arrdn_sel,cfgary_en);

А иногда снапшот собирается и симуляция проходит.

Этот main_sel - UDP.

Что я делаю не так?

Может есть какойто способ указать xrun как правильно линковать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...