Перейти к содержанию
    

Как сформировать задержки в Quartus с отражением в симуляторе?

Как сформировать несколько ВЫХОДНЫХ сигналов с задержками друг относительно друга в Quartus6?

 

В симуляторе все выходные сигналы оказались с одинаковой задержкой относительно тактового сигнала.

Перед компилированием снимал флажок с опции, запрещающей использование задержек на цепочках ячеек (Chain...(не помню точно название))

 

фрагмент в VHDL :

sig1<=not clk;

sig2<=not sig1;

sig3<=not sig2;

 

в графическом редакторе:

несколько элементов 2И-НЕ из библиотеки, соединил последовательно, с выхода каждого - pin, этот pin отражал в симуляторе.

 

Какую опцию нужно изменить?

=======

Пробовал также в VHDL:

sig<=not clk after 15 ns;

 

Quartus ошибку не выдает, но эффект задержки в симуляторе не отражается.

 

Если использовать другой редактор+симулятор, например, Active HDL, будет ли симулироваться задержка, определяемая after 15 ns, если да, то как выполнить синтез с такой задержкой для ИС Altera?

 

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как сформировать несколько ВЫХОДНЫХ сигналов с задержками друг относительно друга в Quartus6?

 

В симуляторе все выходные сигналы оказались с одинаковой задержкой относительно тактового сигнала.

Перед компилированием снимал флажок с опции, запрещающей использование задержек на цепочках ячеек (Chain...(не помню точно название))

 

фрагмент в VHDL :

sig1<=not clk;

sig2<=not sig1;

sig3<=not sig2;

 

в графическом редакторе:

несколько элементов 2И-НЕ из библиотеки, соединил последовательно, с выхода каждого - pin, этот pin отражал в симуляторе.

 

Какую опцию нужно изменить?

=======

Пробовал также в VHDL:

sig<=not clk after 15 ns;

 

Quartus ошибку не выдает, но эффект задержки в симуляторе не отражается.

 

Если использовать другой редактор+симулятор, например, Active HDL, будет ли симулироваться задержка, определяемая after 15 ns, если да, то как выполнить синтез с такой задержкой для ИС Altera?

 

Спасибо.

 

Если вам достаточно задержки при моделировании, Вы можете ее вставлять как и указалм "after X ns".

В квартусе у Вас не получилось, тк Вы скорей всего смотрели диаграмму после фитинга где их и не может остатся(те после синтеза где они игнорируются), но и при "Functional Simulation" в квартусе эти задержки тоже не работают (по отзывам людей испробовавших)

 

Поэтому (если задержка нужна только при моделировании!) Вы ее так получите, но тоько в другом симуляторе - например ModelSim или симулятор Active HDL

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...