Перейти к содержанию
    

Проблемы поддержки VHDL/Verilog в PangoMicro PDS

37 minutes ago, Beby said:

  Обязательно ещё раз гляну - с прошлого раза (лет 20 назад) запомнилось только, что оно не IEEE, теперь же гляну чьё именно.
И Xilinx, и Pango функцию 'conv_integer' просто принужнает исользовать: см. эталонные описния RAM в xst.pdf и ADS_Synthesis_User_Guide.pdf.

используй 

library ieee;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;

тогда все будет ок) (я использую vhdl2008)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Maverick_ said:

используй 

library ieee;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;

тогда все будет ок) (я использую vhdl2008)

  Да я бы тоже использовал VHDL'2008... Но у Pango ADS 2023-2-SP1 заявлена только Beta поддержка VHDL'93 - в итоге частично пришлось переписывать код на VHDL'93. Кстати этот мультиплексор - тоже. В оригинальном описании (VHDL'2008) 'A' был 2-х мерным массивом, и мультиплексор был по одному измерению, а элементы - по второму. Но т.к. на VHDL'93 не получилось описать тип 2-х мерного массива (чтобы оба параметра варьировались, и в одном проекте этот мультиплексор применялся бы несколько раз с разными параметрами, да ещё и в качестве входных/выходных портов entity), то пришлось переходить на одномерный массив.

Собственно об этом и тема: на языке можно много чего написать, только ADS это не будет воспринимать.
Первоначально была в виде ответа на вопрос про "подводные камни на пути" применения VHDL в Pango ADS 2023-2-SP1.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 4/27/2024 at 4:27 PM, Beby said:

Собственно об этом и тема: на языке можно много чего написать, только ADS это не будет воспринимать.

Поддержу - тема о текущих проблемах синтеза в первую очередь ибо синтезатор PDS подкидывает ворох проблем. Если есть примеры того, что не работает и как обойти просьба делиться, передадим разработчику.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...