Перейти к содержанию
    

DCM в ModelSim

Начал пробывать писать программы на VHDL недавно, по этому прошу строга не судить за мой, наверно, приметивный вопрос. А проблема вот в чём - я начал использовать DCM в своём проекте и ISE WebPACK 8.1 при компеляции не выдает ошибок, а вот ModelSim XE ругается следующим образом:

 

# ** Error: (vcom-19) Failed to access library 'unisim' at "D:\Modeltech_xe_starter\win32xoem/../xilinx/vhdl/unisim".

# No such file or directory. (errno = ENOENT)

# ** Error: D:/Modeltech_xe_starter/win32xoem/vcom failed.

 

Если я правильно понял, то не может найти нужную библиотеку. Может кто подскажет что делать? Где можно взять эту библиотеку...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

# ** Error: (vcom-19) Failed to access library 'unisim' at "D:\Modeltech_xe_starter\win32xoem/../xilinx/vhdl/unisim".

# No such file or directory. (errno = ENOENT)

# ** Error: D:/Modeltech_xe_starter/win32xoem/vcom failed.

 

Если я правильно понял, то не может найти нужную библиотеку. Может кто подскажет что делать? Где можно взять эту библиотеку...

http://www.xilinx.com/xlnx/xil_sw_updates_...update=mxe_libs

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

# ** Error: (vcom-19) Failed to access library 'unisim' at "D:\Modeltech_xe_starter\win32xoem/../xilinx/vhdl/unisim".

# No such file or directory. (errno = ENOENT)

# ** Error: D:/Modeltech_xe_starter/win32xoem/vcom failed.

 

Если я правильно понял, то не может найти нужную библиотеку. Может кто подскажет что делать? Где можно взять эту библиотеку...

http://www.xilinx.com/xlnx/xil_sw_updates_...update=mxe_libs

 

Ээээ... Будьте готовы, что DCMы не сильно корректно моделируются.

 

Приметивный вопрос: может вам все-таки не с DCM начинать пробывать писать программы на VHDL, а то у этого языка ОПИСАНИЯ АППАРАТНЫХ СРЕДСТВ компеляция слишком строга?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте перекомпилить библиотеки для моделсима утилитой xilinx/bin/nt/comphlib.exe (надо запустить её с директивой - help почитать помощь, составить *.bat - файл, запустить.) В результате появятся библиотеки симуляции элементов для моделсима... свеженькие.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всё всем спасибо!!! Заработало!!! Просто библиотек не хватало... Так что всё в норме..Все большое спасибо!!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте перекомпилить библиотеки для моделсима утилитой xilinx/bin/nt/comphlib.exe (надо запустить её с директивой - help почитать помощь, составить *.bat - файл, запустить.) В результате появятся библиотеки симуляции элементов для моделсима... свеженькие.

Помоэму для перекомпиляции надо утилити compxlib а не comphlib. и это работает только для ModelSim PE/SE. Для XE нужно скачать библиотеки из сайта фирмы Xilinx.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...