Перейти к содержанию
    

ПЛИС PangoMicro Compact

Приветствую, коллеги. Пришлось осваивать Панго серии компакт. Сделал простенький тестовый проект с использованием встроенного в микросхему генератора (в приложении весь проект). сделал констрейны на клоки и выходы. Однако при сборке получаю критическое предупреждение: "Place-2028: CASCADED_GLOBAL_CLOCK: the driver GTP_OSC_E2_inst/gateop fixed at OSC_11_174 is unreasonable. Sub-optimal placement for a clock source and a clock buffer." 

Не понятно, как от этого предупреждения избавиться. Чтение доступной документации и поиск не привели даже к догадке, где искать ответ. Может конечно не все прочитал.

Прошу совета, куда рыть? 

Ну не могу я себе позволить оставлять в проектах даже предупреждения.

 

 

pangotest.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 27.09.2023 в 22:23, Abo сказал:

Ну не могу я себе позволить оставлять в проектах даже предупреждения.

Покрутил в PDS версий v2022.2-sp3 и v2022.2-sp4.2 и так, и этак - боюсь, что в данном примере придется с этим предупреждением смириться.

ИМХО, предупреждение довольно странное. В проекте инстанциируется OSC, плейсер его совершенно законно размещает в локации OSC_11_174, но при этом предупреждает о не оптимальном расположении 8(.

Ну так а куда же еще размещать GTP_OSC_E2, как не в сам аппаратный модуль OCS ???

Причем, даже если в Physical Constraint Editor вручную приколотить гвоздями GTP_OSC_E2_inst/gateop к OSC_11_174, то плейсер все равно выдает это предупреждение.

Так что остается относиться к этому предупреждению как к фиче PDS.

Ну или как к тонкому намеку на то, что OSC не является оптимальным источником тактового сигнала для ПЛИС 🙂

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 minutes ago, StewartLittle said:

Покрутил в PDS версий v2022.2-sp3 и v2022.2-sp4.2 и так, и этак - боюсь, что в данном примере придется с этим предупреждением смириться.

ИМХО, предупреждение довольно странное. В проекте инстанциируется OSC, плейсер его совершенно законно размещает в локации OSC_11_174, но при этом предупреждает о не оптимальном расположении 8(.

Ну так а куда же еще размещать GTP_OSC_E2, как не в сам аппаратный модуль OCS ???

Причем, даже если в Physical Constraint Editor вручную приколотить гвоздями GTP_OSC_E2_inst/gateop к OSC_11_174, то плейсер все равно выдает это предупреждение.

Так что остается относиться к этому предупреждению как к фиче PDS.

Ну или как к тонкому намеку на то, что OSC не является оптимальным источником тактового сигнала для ПЛИС 🙂

Благодарю за участие. А вот интересно, у китайцев напрямую можно об этом спросить? Письмо может им написать, переведя гуглом на иероглифы?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 28.09.2023 в 14:20, Abo сказал:

Благодарю за участие. А вот интересно, у китайцев напрямую можно об этом спросить? Письмо может им написать, переведя гуглом на иероглифы?

Можно и по английски. Я попробую (тут главное - попасть на правильного человека). О результатах сообщу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Продолжил эксперименты с с блоком GTP_OSC_E2.

У него, судя по описанию 2 выхода (CLKCRC с фиксированной частотой 2,08МГц, CLKOUT с выхода делителя, коэфф. деления задается параметром). 

Так вот, на выходе CLKCRC сигнала нет ни при каких условиях.

У блока также есть вход EN_N,который должен  разрешать выход CLKOUT. Так вот он тоже не оказывает на него никакого влияния - сигнал на выходе CLKOUT всегда есть. Хорошо хоть делитель работает как описано.

Вот такая понимаешь загогулина китайская....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

по моему опыту работы с САПР из Поднебесной скорее всего там есть "один единственно верный путь" который будет без ошибки, все 100500 остальных с ошибкой. надо кушать доки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 10/3/2023 at 4:24 PM, Abo said:

Продолжил эксперименты с с блоком GTP_OSC_E2.

У него, судя по описанию 2 выхода (CLKCRC с фиксированной частотой 2,08МГц, CLKOUT с выхода делителя, коэфф. деления задается параметром). 

Так вот, на выходе CLKCRC сигнала нет ни при каких условиях.

У блока также есть вход EN_N,который должен  разрешать выход CLKOUT. Так вот он тоже не оказывает на него никакого влияния - сигнал на выходе CLKOUT всегда есть. Хорошо хоть делитель работает как описано.

Вот такая понимаешь загогулина китайская....

А почему CLKCRC? Там и не должно быть тактовой.

GTP_OSC_E2 (eng).pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 05.10.2023 в 12:20, Gas Wilson сказал:

А почему CLKCRC? Там и не должно быть тактовой.

Потому, что в Вашем переводе так было написано 😎

См. стр. 47, таблицу 48

UG030003 Compact Series CPLD Clock Resources Clock PLL User Guide_innek.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Перевод оригиналу соответствует, а вот оригинал фактам нет. В китайском варианте UG030003 одно написано, в UG030008 другое. Перевода UG030008 на фтп нет.

А вот вход разрешения не влияет на выход - это я сам наблюдал. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, получил описание GTP версии 1.2 - там исправили. Почему не работает - уточняю.

GTP_OSP_E2 ver1.2.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 hours ago, Gas Wilson said:

Да, получил описание GTP версии 1.2 - там исправили. Почему не работает - уточняю.

GTP_OSP_E2 ver1.2.pdf 233.8 kB · 2 downloads

Исправили неправильно. Теперь в этом файле описание еще дальше от реальности...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 09.10.2023 в 16:54, Gas Wilson сказал:

Да, получил описание GTP версии 1.2 - там исправили. Почему не работает - уточняю.

У меня вот такие юзергайды:

UG030003_Compact Series CPLD Clock Resources (Clock & PLL) User Guide V1.3.pdf

UG030008_Compact Series CPLD GTP User Guide V1.2.pdf

Информация по поводу GTP_OSC_E2 в этих документах одна и та же. Но, как утверждает коллега @Abo , она не соответствует реальному поведению модуля GTP_OSC_E2 в ПЛИС.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот еще одна удивительная штука. Похоже не работает в анализаторе PDS директива set_false_path.

В приложении проект, где все входы и выходы пометил этой директивой. А анализатор все равно предупреждения пишет.

Тот же проект с теми же директивами анализатора (create_clock и set_false_path) в Quartus компилируется без предупреждений. 

Может я неправильно задал эти констрейны?

set_false_path -from [get_ports {key}] 

set_false_path -to [get_ports {TEST_OUT[*]}] 
 

image.thumb.png.5c65933989fb3094c9966036bb5b1b50.png

pangotest.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...